Цитата(SM @ Dec 20 2012, 19:36)

Это явный признак каких-то логических гонок, что от вывода на пины меняется работа. Либо есть необконстрейненные пути, либо некорректно обконстрейненные, ну либо сам клок грязный.
Вот мой SDC-файлик.
CODE
derive_clock_uncertainty
create_clock -period 8MHz -name {clk} [get_ports {clk}]
create_generated_clock -name {clk_div_8} -divide_by 8 -source [get_ports {clk}] [get_registers {cnt[2]}]
set_clock_groups -exclusive -group {clk}
set_clock_groups -exclusive -group {clk_div_8}
Собсно, в нем задан клок, задан деленный клок.Весь проект синхронный, по фронтам. Разве еще что-то нужно накручивать для таймквеста, чтобы всё было хорошо? если да, то можете хоть намекнуть, что это) курил статьи товарища des00. Там, вроде, ничего такого не говорилось.
Заранее, благодарю.
Цитата(Acvarif @ Dec 20 2012, 20:00)

2. Пропадание любго сигнала (или его искажение) при нагрзке на осциллятор - явный признак гонок по фронтам в автомате
в какой-то его части. Полезно автомат просмотреть на симуляторе (любом).
Вы имеете ввиду временнОе моделирование? К сожалению, никак не могу подружить квартус12 и моделсим в плане симуляции на gate-уровне.. А разве он покажет что-то нехорошее, если таймквет говорит, что всё окнорм? По возможности буду копать в этом направлении. Спасибо!
Цитата(Rendom @ Dec 20 2012, 21:47)

Копайте в сторону допустимой нагрузки на пин

Тож есть вероятность. Пока руки до этого варианта не дошли. А у вас была подобная ситуация?
Цитата(iosifk @ Dec 20 2012, 17:51)

У меня на сайте, в статьях, "Краткий Курс", глава о параметрах и пр....
Цитата(iosifk @ Dec 20 2012, 21:02)

Уберите все #DELAY из файла, который будет проектом для ПЛИС и проведите симуляцию...
Оставить можно их только в тестбенче, в той его части, которая поставляет данные в ПЛИС...
Тут не оч понятно, зачем. В данном варианте на функциональной симуляции хоть задержки видно, так сказать, для понимания что по какому клоку произошло.
Цитата(Iptash @ Dec 20 2012, 18:55)

Я на MAX II много измерительных вещей сделал, входа чувствительные к дребезгу (входные счетчики, регистры и т.п.) нужно фильтровать, т.е. в программе нужно прописывать для исключения ложного срабатывания.
Не очень понятно, что вы имеете ввиду? Что куда прописать в конфигурации? Приходит на ум лишь фильтр на основе счетчика, опять же. Вы это имеете ввиду? Заранее благодарю за ответ