|
Внешнее програмирование флеш. |
|
|
|
May 16 2017, 09:00
|
Профессионал
    
Группа: Участник
Сообщений: 1 778
Регистрация: 29-03-12
Пользователь №: 71 075

|
Я подключил к проекту Altera On-Chip Flash и он создал мне компонент Код component flash_update is port ( clock : in std_logic := 'X'; -- clk avmm_csr_addr : in std_logic := 'X'; -- address avmm_csr_read : in std_logic := 'X'; -- read avmm_csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata avmm_csr_write : in std_logic := 'X'; -- write avmm_csr_readdata : out std_logic_vector(31 downto 0); -- readdata avmm_data_addr : in std_logic_vector(18 downto 0) := (others => 'X'); -- address avmm_data_read : in std_logic := 'X'; -- read avmm_data_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata avmm_data_write : in std_logic := 'X'; -- write avmm_data_readdata : out std_logic_vector(31 downto 0); -- readdata avmm_data_waitrequest : out std_logic; -- waitrequest avmm_data_readdatavalid : out std_logic; -- readdatavalid avmm_data_burstcount : in std_logic_vector(3 downto 0) := (others => 'X'); -- burstcount reset_n : in std_logic := 'X' -- reset_n ); end component flash_update;
u0 : component flash_update port map ( clock => CONNECTED_TO_clock, -- clk.clk avmm_csr_addr => CONNECTED_TO_avmm_csr_addr, -- csr.address avmm_csr_read => CONNECTED_TO_avmm_csr_read, -- .read avmm_csr_writedata => CONNECTED_TO_avmm_csr_writedata, -- .writedata avmm_csr_write => CONNECTED_TO_avmm_csr_write, -- .write avmm_csr_readdata => CONNECTED_TO_avmm_csr_readdata, -- .readdata avmm_data_addr => CONNECTED_TO_avmm_data_addr, -- data.address avmm_data_read => CONNECTED_TO_avmm_data_read, -- .read avmm_data_writedata => CONNECTED_TO_avmm_data_writedata, -- .writedata avmm_data_write => CONNECTED_TO_avmm_data_write, -- .write avmm_data_readdata => CONNECTED_TO_avmm_data_readdata, -- .readdata avmm_data_waitrequest => CONNECTED_TO_avmm_data_waitrequest, -- .waitrequest avmm_data_readdatavalid => CONNECTED_TO_avmm_data_readdatavalid, -- .readdatavalid avmm_data_burstcount => CONNECTED_TO_avmm_data_burstcount, -- .burstcount reset_n => CONNECTED_TO_reset_n -- nreset.reset_n ); Вопрос как мне работать с ним. Я хочу по UART програмировать CFM на MAX10. Может кто нибудь работал с этим модулем?
|
|
|
|
|
 |
Ответов
|
May 16 2017, 09:03
|

я только учусь...
     
Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839

|
Цитата(Jenya7 @ May 16 2017, 12:00)  Я подключил к проекту Altera On-Chip Flash и он создал мне компонент Код component flash_update is port ( clock : in std_logic := 'X'; -- clk avmm_csr_addr : in std_logic := 'X'; -- address avmm_csr_read : in std_logic := 'X'; -- read avmm_csr_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata avmm_csr_write : in std_logic := 'X'; -- write avmm_csr_readdata : out std_logic_vector(31 downto 0); -- readdata avmm_data_addr : in std_logic_vector(18 downto 0) := (others => 'X'); -- address avmm_data_read : in std_logic := 'X'; -- read avmm_data_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata avmm_data_write : in std_logic := 'X'; -- write avmm_data_readdata : out std_logic_vector(31 downto 0); -- readdata avmm_data_waitrequest : out std_logic; -- waitrequest avmm_data_readdatavalid : out std_logic; -- readdatavalid avmm_data_burstcount : in std_logic_vector(3 downto 0) := (others => 'X'); -- burstcount reset_n : in std_logic := 'X' -- reset_n ); end component flash_update;
u0 : component flash_update port map ( clock => CONNECTED_TO_clock, -- clk.clk avmm_csr_addr => CONNECTED_TO_avmm_csr_addr, -- csr.address avmm_csr_read => CONNECTED_TO_avmm_csr_read, -- .read avmm_csr_writedata => CONNECTED_TO_avmm_csr_writedata, -- .writedata avmm_csr_write => CONNECTED_TO_avmm_csr_write, -- .write avmm_csr_readdata => CONNECTED_TO_avmm_csr_readdata, -- .readdata avmm_data_addr => CONNECTED_TO_avmm_data_addr, -- data.address avmm_data_read => CONNECTED_TO_avmm_data_read, -- .read avmm_data_writedata => CONNECTED_TO_avmm_data_writedata, -- .writedata avmm_data_write => CONNECTED_TO_avmm_data_write, -- .write avmm_data_readdata => CONNECTED_TO_avmm_data_readdata, -- .readdata avmm_data_waitrequest => CONNECTED_TO_avmm_data_waitrequest, -- .waitrequest avmm_data_readdatavalid => CONNECTED_TO_avmm_data_readdatavalid, -- .readdatavalid avmm_data_burstcount => CONNECTED_TO_avmm_data_burstcount, -- .burstcount reset_n => CONNECTED_TO_reset_n -- nreset.reset_n ); Вопрос как мне работать с ним. Я хочу по UART програмировать CFM на MAX10. Может кто нибудь работал с этим модулем? Avalon MM
--------------------
If it doesn't work in simulation, it won't work on the board.
"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
|
|
|
|
Сообщений в этой теме
Jenya7 Внешнее програмирование флеш. May 16 2017, 09:00  sonycman Цитата(Jenya7 @ May 16 2017, 13:21) я изу... May 16 2017, 09:27   Jenya7 Цитата(sonycman @ May 16 2017, 14:27) Ава... May 16 2017, 09:33    sonycman Цитата(Jenya7 @ May 16 2017, 13:33) а поч... May 16 2017, 09:52     Jenya7 Цитата(sonycman @ May 16 2017, 14:52) Это... May 16 2017, 10:30 Don Eugenio При настройке IP-Core (Altera On-Chip Memory) у ва... May 16 2017, 11:38 Jenya7 Цитата(Don Eugenio @ May 16 2017, 16:38) ... May 16 2017, 11:45
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|