Цитата(Мур @ Aug 4 2017, 13:52)

...вот именно так мне и надо. Мне важно, чтобы данные на время ое (в лог 0) данные не менялись. Обычный, но со своим сигналом запоминания
поясните мне пожалуйста в чем разница, если сделать так
Код
library ieee;
use ieee.std_logic_1164.all;
entity flop is
port(C, D, CE : in std_logic;
Q : out std_logic);
end flop;
architecture archi of flop is
begin
process (C)
begin
if (C'event and C='1') then
if (CE='1') then
Q <= D;
end if;
end if;
end process;
end archi;
зачем плодить "тактовые частоты" (gated clock)
Цитата
if (falling_edge(oe)) then
чем не устраивет cделать это как сигнал разрешения?
и работать от одного клока.
Зачем использовать какие-то атрибуты в таком простом описании?
Пример описания тригера с разрешением я привел....
If it doesn't work in simulation, it won't work on the board.
"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"