Позвольте продолжить тему.
Сейчас только начинаю осваивать и верилог и подключение таких дисплеев.
OSD057VA01CT_V0.4__With_Touch_.pdf ( 390.22 килобайт )
Кол-во скачиваний: 28Имею плату DE2-115 от альтеры (точнее терасик). Пишу на верилоге.
Имею дисплей OSD057VA (даташит во вложении)
Подскажите, сигналы vsync и hsync когда в 1, а когда в 0 ?
И то же самое про сигнал DE.
Как я понял, лучше перевести дисплей в режим sync ( не de-оnly). Правильно?
Код модуля на верилоге:
Код
module osdDisplaySimple (input clkPin, input adjVal, output reg pixPin, output reg HYNC_PIN, output reg VSYNC_PIN, output reg dePin, output reg ledAdjPin, output refOutPin);
integer pixCnt;
integer lineCnt;
integer frameCnt;
integer ledAdjCnt;
integer deLogic;
assign refOutPin = clkPin;
initial
begin
ledAdjCnt = 0;
pixCnt = 0;
lineCnt = 0;
frameCnt = 0;
HYNC_PIN = 0;
VSYNC_PIN = 0;
dePin = 0;
ledAdjPin = 0;
end
always @(posedge clkPin)
begin
ledAdjCnt = ledAdjCnt + 1;
if(ledAdjCnt > 1250)
begin
ledAdjCnt = 0;
ledAdjPin = ~ledAdjPin;
end
pixPin = ~pixPin;
// Pixels block
pixCnt = pixCnt + 1;
if(pixCnt < 640*2)
HYNC_PIN = 1;
else if(pixCnt < 800*2)
HYNC_PIN = 0;
else
begin
HYNC_PIN = 0;
pixCnt = 0;
lineCnt = lineCnt + 1;
if (lineCnt < 480)
VSYNC_PIN = 1;
else if(lineCnt < 525)
VSYNC_PIN = 0;
else
begin
VSYNC_PIN = 0;
lineCnt = 0;
end
end
dePin = HYNC_PIN & VSYNC_PIN;
end
endmodule
топ-модуль:
Код
module top(
input wire [17:0] SW,
input wire [3:0] KEY,
input wire OSC_50,
output wire [7:0] LED_GREEN,
output wire [6:0] HEX0,
output wire [6:0] HEX1,
output wire [6:0] HEX2,
output wire [6:0] HEX3,
output wire [35:0] GPIO,
output wire UART_TXD,
output wire [17:0] LED_RED
);
integer samples[1024];
integer sampCnt1;
integer sampCnt2;
integer cnt;
integer cntClk;
integer trig;
integer unitCnt;
//module osdDisplaySimple (clkPin, adjVal, pixPin, HYNC_PIN, VSYNC_PIN, dePin, ledAdjPin, refOutPin);
osdDisplaySimple Simple (OSC_50, SW[3], GPIO[33], GPIO[1],GPIO[2], GPIO[3], GPIO[4], GPIO[11]);
// RED color
assign GPIO[32] = SW[0];
// GREEN color
assign GPIO[6] = SW[1];
// BLUE color
assign GPIO[5] = SW[2];
// DMS
assign GPIO[0] = SW[4];
endmodule
всё равно не работает....
В какой полярности д.б. сигналы, подскажите пожалуйста.