|
Симуляция счётчика в ModelSim |
|
|
|
May 29 2018, 16:27
|
Участник

Группа: Участник
Сообщений: 28
Регистрация: 17-03-18
Пользователь №: 102 435

|
Подскажите, как запустить счетчик, чтобы вместо красных линий (нулей) были зелёные линии Код module count (input clk, output reg [1:0]counter); always @(posedge clk) counter <= counter + 1; endmodule Test bench Код module top; reg clk; wire [1:0] counter; count tcount (clk, counter); initial begin clk = 0; forever #10 clk = !clk; end endmodule
|
|
|
|
Ответов
|
Jun 5 2018, 06:42
|
Участник

Группа: Участник
Сообщений: 28
Регистрация: 17-03-18
Пользователь №: 102 435

|
Да, спасибо, в реальной схеме сброс вообще не нужен, но в симуляции использую сброс для инициализации регистров нулями
|
|
|
|
Сообщений в этой теме
demsp Симуляция счётчика в ModelSim May 29 2018, 16:27 OM-S Задайте начальное значение счетчику (обнулите).
Вы... May 29 2018, 16:51 demsp Спасибо. А как это сделать? Если написать
Кодmodul... May 29 2018, 17:09 OM-S Да, конечно, нужно сделать синхонную загрузку или ... May 29 2018, 17:33 Nieve Асинхронный сброс
Кодmodule count
(
input clk... May 29 2018, 18:56 iosifk Цитата(Nieve @ May 29 2018, 21:56) Асинхр... May 29 2018, 19:38  andrew_b Цитата(iosifk @ May 29 2018, 22:38) Даже ... May 30 2018, 04:55   iosifk Цитата(andrew_b @ May 30 2018, 07:55) Все... May 30 2018, 07:09    Marat Zuev Цитата(iosifk @ May 30 2018, 07:09) А про... May 30 2018, 22:01     Nieve Цитата(Marat Zuev @ May 30 2018, 23:01) О... May 31 2018, 04:15      Flip-fl0p Цитата(Nieve @ May 31 2018, 07:15) И где ... May 31 2018, 04:37       andrew_b Цитата(Flip-fl0p @ May 31 2018, 07:3... May 31 2018, 05:08        Flip-fl0p Цитата(andrew_b @ May 31 2018, 08:08) Эм,... May 31 2018, 05:28     iosifk Цитата(Marat Zuev @ May 31 2018, 01:01) С... May 31 2018, 07:30    Maverick ТС почему Вы не хотите пользоваться описание из те... May 31 2018, 08:39     Flip-fl0p КодТС почему Вы не хотите пользоваться описание из... May 31 2018, 09:02      iosifk Цитата(Flip-fl0p @ May 31 2018, 12:0... May 31 2018, 10:07 Flip-fl0p ЦитатаПодскажите, как запустить счетчик, чтобы вме... May 29 2018, 19:00 Nieve Еще давно, когда только начинал изучать Verilog, к... May 29 2018, 19:54 iosifk Цитата(Nieve @ May 29 2018, 22:54) Еще да... May 29 2018, 20:02 Flip-fl0p Цитата(Nieve @ May 29 2018, 22:54) Еще да... May 29 2018, 20:02 Nieve Не совсем. Сначала триггер синхронизируется по так... May 31 2018, 04:42 Flip-fl0p Цитата(Nieve @ May 31 2018, 07:42) Не сов... May 31 2018, 04:44 Nieve Цитата(Flip-fl0p @ May 31 2018, 04:4... May 31 2018, 05:31 andrew_b Цитата(Nieve @ May 31 2018, 08:31) Наскол... May 31 2018, 06:26 Flip-fl0p Цитата(demsp @ Jun 5 2018, 09:42) Да, спа... Jun 5 2018, 07:14 des333 Мода на асинхронный сброс не проходит Jun 5 2018, 20:13
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|