Цитата(Alezi @ Sep 29 2008, 06:14)

Смысл сией затеи научится работать ручками...

Блок подключения внешнего статического ОЗУ (не поворачивается язык назвать его контроллером) для этого мало годится, так как прост как грабли. Использовать для него шаблон гораздо удобнее, т.к. при этом будет проще с адресацией разобраться

Ну а если очень хочется врукопашную, то так :
Код
library ieee;
use ieee.std_logic_1164.all;
entity async_sram_256kx32 is
port( ats_address : in std_logic_vector(17 downto 0);
ats_read_n : in std_logic;
ats_write_n : in std_logic;
ats_chipselect_n : in std_logic;
ats_byteenable_n : in std_logic_vector(3 downto 0);
ats_data : inout std_logic_vector(31 downto 0);
cos_addr : out std_logic_vector(17 downto 0);
cos_read_n : out std_logic;
cos_write_n : out std_logic;
cos_chipselect_n : out std_logic;
cos_byteenable_n : out std_logic_vector(3 downto 0)
);
end async_sram_256kx32;
architecture rtl of async_sram_256kx32 is
begin
cos_addr <= ats_address;
cos_read_n <= ats_read_n;
cos_write_n <= ats_write_n;
cos_chipselect_n <= ats_chipselect_n;
cos_byteenable_n <= ats_byteenable_n;
end rtl;
Для работы с авалоном самое главное - изучить его спецификацию

:
Avalon Interface Specifications
Сообщение отредактировал Omen_13 - Sep 29 2008, 16:00
Чтобы слова не расходились с делом, нужно молчать и ничего не делать...