|
|
  |
pci интерфейс по шагам |
|
|
|
Sep 1 2011, 07:38
|
Гуру
     
Группа: Свой
Сообщений: 2 546
Регистрация: 23-05-07
Из: Самарская область Сызрань
Пользователь №: 27 923

|
С этим разобрался, например в одном файле Код package CFGSPACE_SET is ...... а в другом чтобы использовать Код use WORK.CFGSPACE_SET.all; поправьте если ошибаюсь. А какой файл должен быть top set пока не понял.
|
|
|
|
|
Sep 2 2011, 02:55
|
Местный
  
Группа: Свой
Сообщений: 474
Регистрация: 20-01-09
Из: НН
Пользователь №: 43 639

|
Не собирал проект pci_target, поэтому показать свою версию не могу. Судя по сообщению, он пишет "ничем не ограниченный вход", а поскольку Вы делаете контроллер для шины PCI, которая предполагает выбросы, софт советует подключить либо резисторы, либо clamp диоды, которые не позволят выбросам быть больше чем размах питания. Почитайте аппноты по PCI для данного софта. И у Альтеры и у Xilinx есть корки PCI, и там же можно посмотреть как именно они разбираются с пинами в ucf файле. Или качните самопальные проекты из сети, которые хотя бы компилятся, там увидите как настроить внешний интерфейс.
--------------------
пользователь отключен
|
|
|
|
|
Sep 2 2011, 04:28
|
Гуру
     
Группа: Свой
Сообщений: 2 546
Регистрация: 23-05-07
Из: Самарская область Сызрань
Пользователь №: 27 923

|
Вход P14 в документации написано не имеет не диодов ни резисторов и работает только на вход Из документации Цитата nrestricted, general-purpose input-only pin. This pin does not have an output structure, differential termination resistor, or PCI clamp diode Подозреваю где-то в проекте как то связано с входом IDSEL_p что вызывает такую ошибку,может ошибаюсь, где посмотреть нет мыслей. подкиньте мысль))
Сообщение отредактировал sergey sva - Sep 2 2011, 04:31
|
|
|
|
|
Sep 2 2011, 15:55
|
Гуру
     
Группа: Свой
Сообщений: 2 546
Регистрация: 23-05-07
Из: Самарская область Сызрань
Пользователь №: 27 923

|
Порты IP, для чего они обычно используются? вызывает ошибку(в 22 посте) мапинг Код component IBUF is port( I: in std_logic; O: out std_logic ); end component;
IB2: IBUF port map(I => IDSEL_p, O => IDSELil); Любой другой порт не IP, привязанный к IDSEL_p не вызывает ошибки. Что можно сделать?
Сообщение отредактировал sergey sva - Sep 2 2011, 19:05
|
|
|
|
|
Sep 4 2011, 17:59
|
Гуру
     
Группа: Свой
Сообщений: 2 546
Регистрация: 23-05-07
Из: Самарская область Сызрань
Пользователь №: 27 923

|
Взял пример c opencores pci32tlite_oc_R03 все собралось без ошибок, загрузил ,комп тоже стал запускаться. dmidecode ведь должен показать vendorID deviceID ? в коде задан Код generic ( vendorID : std_logic_vector(15 downto 0) := x"4150"; deviceID : std_logic_vector(15 downto 0) := x"0001"; revisionID : std_logic_vector(7 downto 0) := x"90"; subsystemID : std_logic_vector(15 downto 0) := x"0000"; subsystemvID : std_logic_vector(15 downto 0) := x"1172"; classcodeID : std_logic_vector(23 downto 0) := x"068000"; -- BAR&WB_CFG (dont delete)
|
|
|
|
|
  |
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0
|
|
|