реклама на сайте
подробности

 
 
14 страниц V  « < 11 12 13 14 >  
Reply to this topicStart new topic
> Редакторы HDL, альтернатива
dm.pogrebnoy
сообщение Nov 6 2016, 07:55
Сообщение #181


Знающий
****

Группа: Свой
Сообщений: 747
Регистрация: 11-04-07
Пользователь №: 26 933



Flip-fl0p
Есть такая конструкция xLABEL : entity work.entity_name в месте использования компонента.
Она должна избавить от создания паразитного package, и необходимость произведения изменений в нем.


--------------------
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Nov 6 2016, 09:32
Сообщение #182


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Цитата(Flip-fl0p @ Nov 5 2016, 21:52) *
Для того, чтобы в VHDL в одном компоненте применить другой компонент, ранее написанный, необходимо применяемый компонент объявить в архитектурном теле. Так-же в VHDL допускается не объявлять компонент в архитектурном теле, а объявить компонент в файле пакета (PACKAGE):
Сто лет как ничего этого не нужно. Осваивайте direct component instantiation.

Собсно, постом выше уже написали.
Go to the top of the page
 
+Quote Post
Flip-fl0p
сообщение Nov 6 2016, 10:06
Сообщение #183


В поисках себя...
****

Группа: Свой
Сообщений: 729
Регистрация: 11-06-13
Из: Санкт-Петербург
Пользователь №: 77 140



Цитата(dm.pogrebnoy @ Nov 6 2016, 10:55) *
Flip-fl0p
Есть такая конструкция xLABEL : entity work.entity_name в месте использования компонента.
Она должна избавить от создания паразитного package, и необходимость произведения изменений в нем.



Цитата(andrew_b @ Nov 6 2016, 12:32) *
Сто лет как ничего этого не нужно. Осваивайте direct component instantiation.

Собсно, постом выше уже написали.

Круто. Спасибо ! Жаль что такие вещи в книгах не пишут, по которым я учусь... Это же сколько я времени убил на правку package... А счастье было так близко. smile3046.gif
Go to the top of the page
 
+Quote Post
Fitc
сообщение Jan 8 2017, 16:58
Сообщение #184


Частый гость
**

Группа: Свой
Сообщений: 80
Регистрация: 21-10-11
Пользователь №: 67 894



Недавно перешел с Notepad++ на VisualStudio code + плагин SystemVerilog. Недавно узнал про sublime и как по мне он лучше visual studio code. Также собираюсь использовать systemverilog assistance из HDL Designer, он содержит большой функционал по работе с UVM и готовые шаблоны компонентов UVM.

Сообщение отредактировал Fitc - Jan 8 2017, 16:59
Go to the top of the page
 
+Quote Post
Tpeck
сообщение Jan 9 2017, 11:21
Сообщение #185


Местный
***

Группа: Свой
Сообщений: 307
Регистрация: 14-03-06
Пользователь №: 15 243



Всем здравствуйте.
А подскажите пожалуйста, как можно в Notepad++ простым способом проверку синтакса VHDL прикрутить?
Есть мысли реализации с использованием батника и asim от Aldec.
Но может есть способ попроще?
Интересуют просто визуализация ошибок синтаксиса конкретного VHDL.
Спасибо.
Go to the top of the page
 
+Quote Post
GriXa
сообщение Jan 9 2017, 11:41
Сообщение #186


Участник
*

Группа: Участник
Сообщений: 44
Регистрация: 22-05-09
Пользователь №: 49 385



2 Tpeck:
Про Notepad++, к сожалению, ничего сказать не могу. Но вот отличный плагин Veditor для Eclipse парсит VHDL код, и сигнализирует об ошибках синтаксиса. Не всегда плагин работает безупречно. Но на мой взгляд вполне удачное бесплатное решение.

2 Flip-fl0p:
Прошу прощения за некропостинг, но чтобы избавиться от
Цитата
Вот тут и появляется самое большое неудобство, поскольку для изменения одного компонента мне потребуется:
1. Изменить файл описания модуля.
2. Изменить файл пакета, где описываются порты изменяемого модуля.
3. В файле где применяется этот компонент добавить\изменить выходные\выходные порты.


удобно использовать records types. Подробнее про его использование написано у Jiri Gaisler в статье A structured VHDL design method в разделе 5.5 Using record types.


Go to the top of the page
 
+Quote Post
druzhin
сообщение Feb 13 2017, 17:53
Сообщение #187


druzhin
***

Группа: Свой
Сообщений: 286
Регистрация: 18-06-04
Из: Москва
Пользователь №: 58



Цитата(Fitc @ Jan 8 2017, 19:58) *
Недавно перешел с Notepad++ на VisualStudio code + плагин SystemVerilog. Недавно узнал про sublime и как по мне он лучше visual studio code. Также собираюсь использовать systemverilog assistance из HDL Designer, он содержит большой функционал по работе с UVM и готовые шаблоны компонентов UVM.


Как на это красноглазое поделие sublime поставить какой-нибудь верилог-плагин?
Go to the top of the page
 
+Quote Post
RobFPGA
сообщение Feb 13 2017, 18:33
Сообщение #188


Профессионал
*****

Группа: Свой
Сообщений: 1 214
Регистрация: 23-12-04
Пользователь №: 1 643



Приветствую!

Цитата(druzhin @ Feb 13 2017, 20:53) *
Как на это красноглазое поделие sublime какой-нибудь верилог-плагин?


Sublime + SystemVerilog плагин. Очень даже прилично работает, теперь у меня это основной рабочий редактор.

Успехов! Rob.

Go to the top of the page
 
+Quote Post
Fitc
сообщение Feb 13 2017, 19:04
Сообщение #189


Частый гость
**

Группа: Свой
Сообщений: 80
Регистрация: 21-10-11
Пользователь №: 67 894



Цитата(druzhin @ Feb 13 2017, 20:53) *
Как на это красноглазое поделие sublime поставить какой-нибудь верилог-плагин?

Если не установлен "Package control", то установить через "Tools"-> "Install package control ...". Далее нажать "Preferences" -> "Package control", ввести install Package, нажать enter, ввести SystemVerilog, нажать enter
Go to the top of the page
 
+Quote Post
druzhin
сообщение Feb 14 2017, 13:14
Сообщение #190


druzhin
***

Группа: Свой
Сообщений: 286
Регистрация: 18-06-04
Из: Москва
Пользователь №: 58



Цитата(Fitc @ Feb 13 2017, 22:04) *
Если не установлен "Package control", то установить через "Tools"-> "Install package control ...". Далее нажать "Preferences" -> "Package control", ввести install Package, нажать enter, ввести SystemVerilog, нажать enter


Плагин установился. Буду пробовать. Спасибо.
Go to the top of the page
 
+Quote Post
R6L-025
сообщение Mar 3 2017, 14:54
Сообщение #191


Частый гость
**

Группа: Свой
Сообщений: 76
Регистрация: 8-04-11
Из: Ростов-на-Дону
Пользователь №: 64 227



В sublime (хоть и пользуюсь им давно,нашел ее недавно) есть интересная фича - файл проекта. Добавляете в список директорий проекта свой проект, и sublime будет искать определения сигналов, параметров и пр. во всех файлах проекта. Получается что-то похожее на привычное IDE.
Go to the top of the page
 
+Quote Post
RobFPGA
сообщение Mar 3 2017, 15:20
Сообщение #192


Профессионал
*****

Группа: Свой
Сообщений: 1 214
Регистрация: 23-12-04
Пользователь №: 1 643



Приветствую!

Цитата(R6L-025 @ Mar 3 2017, 17:54) *
В sublime (хоть и пользуюсь им давно,нашел ее недавно) есть интересная фича - файл проекта. Добавляете в список директорий проекта свой проект, и sublime будет искать определения сигналов, параметров и пр. во всех файлах проекта. Получается что-то похожее на привычное IDE.

За одно тут же можно настроить и project build-system для компиляции. например
Код
...
    "build_systems":
    [
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_sv",
            "selector": "source.systemverilog",
            "shell_cmd": "%MODEL_TECH%\\vlog.exe -modelsimini .\\ -f compile_sv_opt.f +incdir+$file_path $file",
            "working_dir": "$project_path\\..\\sim"
        },
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_vhd",
            "selector": "source.VHDL",
            "shell_cmd": "$project_path\\..\\sim\\vcom_cmd.bat $file",
            "working_dir": "$project_path\\..\\sim"
        },
        {
            "encoding": "CP1251",
            "file_regex": "(?:^.*? )([A-Z]:.*?)\\((\\d+)\\)(:)(.*)",
            "name": "modelsim_dpi_cpp",
            "selector": "source.c++",
            "shell_cmd": "%MODEL_TECH%\\vlog.exe -sv -outf vlog_f.txt -ccflags \"@c_opt.f\" $file",
            "working_dir": "$project_path\\..\\sim"
        }
    ],
...

А в фалах *.f прописать нужные опции для компиляции.

Успехов! Rob.
Go to the top of the page
 
+Quote Post
DSIoffe
сообщение Apr 13 2017, 16:12
Сообщение #193


Дима
*****

Группа: Свой
Сообщений: 1 683
Регистрация: 15-12-04
Из: Санкт-Петербург
Пользователь №: 1 486



Здравствуйте все!
Научите, пожалуйста, по шагам, как включить в Sublime Text подсветку синтаксиса VHDL?
Я установил редактор и даже нашёл вот это: https://github.com/yangsu/sublime-vhdl . Пользоваться github не умею.
А что дальше делать? Только, пожалуйста, максимально просто: что нажать, что ввести, без специфических терминов Sublime и github.
Заранее признателен.


--------------------
© CОПЫРИГХТ: Дмитрий Иоффе, Советский Союз.
Приглашаю посмотреть: http://muradowa.spb.ru/ и http://www.drtata.narod.ru/index.html
Go to the top of the page
 
+Quote Post
R6L-025
сообщение Apr 13 2017, 20:35
Сообщение #194


Частый гость
**

Группа: Свой
Сообщений: 76
Регистрация: 8-04-11
Из: Ростов-на-Дону
Пользователь №: 64 227



Как по мне самый простой способ - это использовать package control. Это пакет sublime позволяющий довольно просто управлять установкой/удалением других пакетов
вот здесь https://packagecontrol.io/installation руководство по установке. Просто вводите указанный код в консоль subliime, а дальше preferences->package control->install и указываете нужный Вам пакет. Всеsm.gif
Go to the top of the page
 
+Quote Post
DSIoffe
сообщение Apr 14 2017, 11:30
Сообщение #195


Дима
*****

Группа: Свой
Сообщений: 1 683
Регистрация: 15-12-04
Из: Санкт-Петербург
Пользователь №: 1 486



Цитата(R6L-025 @ Apr 13 2017, 23:35) *
Как по мне самый простой способ - это использовать package control. Это пакет sublime позволяющий довольно просто управлять установкой/удалением других пакетов
вот здесь https://packagecontrol.io/installation руководство по установке. Просто вводите указанный код в консоль subliime, а дальше preferences->package control->install и указываете нужный Вам пакет. Всеsm.gif

Большое спасибо!
Забавно, надо было переоткрыть файл заново, чтобы увидеть раскраску.


--------------------
© CОПЫРИГХТ: Дмитрий Иоффе, Советский Союз.
Приглашаю посмотреть: http://muradowa.spb.ru/ и http://www.drtata.narod.ru/index.html
Go to the top of the page
 
+Quote Post

14 страниц V  « < 11 12 13 14 >
Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 29th April 2024 - 05:08
Рейтинг@Mail.ru


Страница сгенерированна за 0.01541 секунд с 7
ELECTRONIX ©2004-2016