реклама на сайте
подробности

 
 
> Digilent программатор Xilinx, кто пользовал?
ADA007
сообщение Aug 6 2013, 09:34
Сообщение #1


Местный
***

Группа: Свой
Сообщений: 218
Регистрация: 2-02-09
Из: Харьков
Пользователь №: 44 266



Приветствую всех форумчан!

Подскажите, кто пользовал данный девайс ? Действительно ли "Compatible with all Xilinx tools" ? И все ли там так гладко, как они пишут? Привлекает цена самой ftdi-ки, но смущают подводные камни.

ЗЫ. не зря же здесь лепили полную копию оригинального DLC10... laughing.gif
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов
bloody-wolf
сообщение Dec 8 2014, 23:56
Сообщение #2


Местный
***

Группа: Свой
Сообщений: 220
Регистрация: 15-05-09
Пользователь №: 49 132



Форумчане, особенно rloc, я извиняюсь за "воскрешение" так сказать темы, но хотелось бы прояснить один момент, а именно распиновку JTAG SMT2 касательно части GPIO0..2 и ADBUS6/7
rloc некоторое количество постов назад написал:
"Отвечаю на вопросы:
1) Замкнуты ADBUS0 и ADBUS4.
2) EEPROM есть на всех платах - 93LC56BT (для HS2 и SMT2 VID_PID=0403_6014).
3) ADBUS7 можно управлять третьим состоянием буферов JTAG-выходов (0 - третье состояние).
4) ACBUS5 используется для сброса Zync, либо как GPIO2, ACBUS4 переводит выходной буфер в третье состояние, либо меняет направление (1 - выход, 0 - вход или третье состояние).
5) ACBUS0/ACBUS1 в SMT2 дополнительно используются для GPIO0/GPIO1, ACBUS3/ACBUS4 - для управления направлением соответствующих буферов GPIO (1 - выход, 0 - вход или третье состояние).
6) ADBUS5/ADBUS6 в HS2 и SMT2 дополнительно используются для режима SPI (подробности опускаю).

"
смущает в пунктах 4 и 5 наличие одной и тойже ноги ACBUS4, это ошибка или так и есть? хочеться повторить девайс, однако в наличии на предмет "пощупать" есть только SMT1, а хотелось бы SMT2.
возможно ли уточнить еще раз, на какие ноги ft232H какие функции назначены?


(по моему мнению скорее всего должно быть так, но могу ошибатьсяsm.gif
ACBUS0 = GPIO.0
ACBUS1 = GPIO.1
ACBUS2 = GPIO.0.ENABLE 1=out 0=in
ACBUS3 = GPIO.1.ENABLE 1=out 0=in
ACBUS4 = GPIO.2.ENABLE 1=out 0=in
ACBUS5 = GPIO.2
я так понимаю, что буферы на этих ногах что-то типа sn74lvc1t45


по мультиплексорам и тому, что digilent попытались сделать "2 wire JTAG", я так понимаю, что мультиплексоры что-то типа 74lvc1g157,
правда несколько непонятно по ADBUS5..7 (или ACBUS5..7) что чем управляет.

и остаются еще просто буферы на TCK ADBUS0 и TDI ADBUS1, что-то типа 74lvc1g125

и с резетом чет не сходится, он тупо на ACBUS5 генерится, или как то отдельно заведен.

Сообщение отредактировал bloody-wolf - Dec 9 2014, 01:32
Go to the top of the page
 
+Quote Post
rloc
сообщение Dec 9 2014, 05:08
Сообщение #3


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Логика - из серии TinyLogic, типа NC7SZ125 с питанием от 1.65 В до 5.5 В. По соединениям - ближе к вечеру.
Go to the top of the page
 
+Quote Post
bloody-wolf
сообщение Dec 9 2014, 07:11
Сообщение #4


Местный
***

Группа: Свой
Сообщений: 220
Регистрация: 15-05-09
Пользователь №: 49 132



Цитата(rloc @ Dec 9 2014, 08:08) *
Логика - из серии TinyLogic, типа NC7SZ125 с питанием от 1.65 В до 5.5 В. По соединениям - ближе к вечеру.


жду с нетерпением wink.gif пасибы
Go to the top of the page
 
+Quote Post
rloc
сообщение Dec 9 2014, 20:09
Сообщение #5


Узкополосный широкополосник
******

Группа: Свой
Сообщений: 2 316
Регистрация: 13-12-04
Из: Moscow
Пользователь №: 1 462



Напоследок проверил, должно быть так:



HS2 - тоже самое, но без GPIOx. В ZedBoard - мультиплексоров нет, третье состояние TCK, TDI и TMS контролируется одним сигналом - AD7. И еще раз напомню, AD0 и AD4 соединяются вместе только потому, что раньше на AD4 возвращался тактовый сигнал через ARM и адаптивно выбиралась частота.
Go to the top of the page
 
+Quote Post
bloody-wolf
сообщение Dec 10 2014, 09:32
Сообщение #6


Местный
***

Группа: Свой
Сообщений: 220
Регистрация: 15-05-09
Пользователь №: 49 132



Цитата(rloc @ Dec 9 2014, 23:09) *
Напоследок проверил, должно быть так:


ВАХ! я у себя на листочке, так сказать, примерно тоже самое изобразил, непонятно было только с управленией OE буферов.
Я премного благодарствую вам, rloc. Пойду рисовать кусок схемы и платы )

А соответственно по памяти подойдет тот дамп, что здесь выкладывался, я правильно понял? 93LC56B... 16bit wide 2kbit


Сообщение отредактировал bloody-wolf - Dec 10 2014, 09:37
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- ADA007   Digilent программатор Xilinx   Aug 6 2013, 09:34
- - DASM   Я пользую, потому что в ZedBoard он попросту распа...   Aug 6 2013, 09:42
- - KPiter   Цитата(DASM @ Aug 6 2013, 13:42) в ZedBoa...   Aug 6 2013, 09:47
|- - dsmv   Я использовал HS2: http://www.digilentinc.com/Prod...   Aug 6 2013, 09:54
- - fractcon   Подводных камней там всего один, он не поддерживае...   Aug 6 2013, 10:04
|- - rloc   http://www.digilentinc.com/Products/Detail...DIGIL...   Aug 6 2013, 10:30
|- - Maverick   Цитата(fractcon @ Aug 6 2013, 13:04) Подв...   Aug 6 2013, 10:41
|- - alexr22b   Цитата(fractcon @ Aug 6 2013, 14:04) Подв...   Aug 6 2013, 20:28
|- - ADA007   Цитата(alexr22b @ Aug 6 2013, 23:28) Все ...   Aug 7 2013, 09:57
|- - Timmy   Цитата(alexr22b @ Aug 7 2013, 00:28) Все ...   Aug 7 2013, 16:51
|- - alexr22b   Цитата(Timmy @ Aug 7 2013, 20:51) Это на ...   Aug 7 2013, 19:14
|- - akorud   Все работает. И EDK и Chipscope. И куда быстрее и ...   Aug 8 2013, 18:17
|- - alexr22b   Цитата(akorud @ Aug 8 2013, 22:17) Все ра...   Aug 11 2013, 01:38
|- - ADA007   Цитата(alexr22b @ Aug 11 2013, 04:38) У м...   Aug 13 2013, 12:01
- - ADA007   На днях собрал по типовой схеме с ДШ на макетке FT...   Dec 3 2013, 07:23
|- - Timmy   Цитата(ADA007 @ Dec 3 2013, 11:23) На дня...   Dec 3 2013, 07:49
|- - ADA007   Цитата(Timmy @ Dec 3 2013, 09:49) ... И е...   Dec 3 2013, 09:32
|- - rloc   Отвечаю на вопросы: 1) Замкнуты ADBUS0 и ADBUS4. 2...   Dec 3 2013, 10:04
|- - Dmitriyspb   Цитата(rloc @ Dec 3 2013, 14:04) Handmade...   Dec 3 2013, 10:32
|- - ADA007   Цитата(rloc @ Dec 3 2013, 12:04) Отвечаю ...   Dec 3 2013, 11:21
|- - Timmy   Цитата(ADA007 @ Dec 3 2013, 15:21) Большо...   Dec 3 2013, 11:30
||- - ADA007   Цитата(Timmy @ Dec 3 2013, 13:30) Есть ещ...   Dec 3 2013, 14:42
|- - rloc   Цитата(ADA007 @ Dec 3 2013, 15:21) А JTAG...   Dec 3 2013, 18:30
|- - ADA007   Значит ни кто не использует SMT1 на FT2232H получа...   Dec 5 2013, 14:38
|- - ADA007   Цитата(rloc @ Dec 3 2013, 20:30) Например...   Dec 17 2013, 09:04
|- - rloc   HS2 full dump КодRead EEPROM Device 0 Word 0000...   Dec 17 2013, 11:12
|- - ADA007   Цитата(rloc @ Dec 17 2013, 13:12) HS2 ful...   Jan 9 2014, 13:16
|- - alver   Цитата(ADA007 @ Jan 9 2014, 17:16) Т.е. в...   Jan 9 2014, 15:00
|- - ADA007   Цитата(alver @ Jan 9 2014, 17:00) Не вижу...   Jan 9 2014, 19:13
|- - rloc   Действительно, FT232H несколько отличается от оста...   Jan 9 2014, 19:58
|- - ADA007   Цитата(rloc @ Jan 9 2014, 21:58) Действит...   Jan 10 2014, 08:54
|- - ADA007   Все оказалось до безобразия просто. Цитата(Flood ...   Jan 16 2014, 11:38
|- - rloc   Поздравляю! ПЛИС прошивали? Цитата(ADA007 ...   Jan 16 2014, 13:05
|- - ADA007   Цитата(rloc @ Jan 16 2014, 15:05) Поздрав...   Jan 16 2014, 13:47
|- - rloc   Возьму на заметку, пока использовал только FT232H:...   Jan 16 2014, 13:56
|- - rloc   Ради такого случая решил поиздеваться над FT4232H ...   Jan 17 2014, 17:54
|- - ADA007   Цитата(rloc @ Jan 17 2014, 19:54) Алгорит...   Jan 20 2014, 19:21
|- - rloc   Опа, никак под Линукс проверяли? Т.е. еще не факт,...   Jan 20 2014, 19:36
|- - ADA007   Цитата(rloc @ Jan 20 2014, 21:36) Опа, ни...   Jan 20 2014, 20:35
|- - Maverick   Цитата(ADA007 @ Jan 20 2014, 22:35) Да, и...   Jan 20 2014, 21:24
|- - rloc   Так у ZedBoard уже должна быть родная поддержка пр...   Jan 21 2014, 05:50
|- - Maverick   Вот результаты, что у человека (у которого сейчас ...   Jan 22 2014, 07:05
||- - rloc   Цитата(Maverick @ Jan 22 2014, 11:05) Сод...   Jan 22 2014, 09:10
||- - Maverick   Цитата(rloc @ Jan 22 2014, 11:10) Не пойм...   Jan 22 2014, 14:57
|- - iosifk   Цитата(rloc @ Jan 21 2014, 09:50) Получае...   Jan 22 2014, 09:55
|- - rloc   Цитата(iosifk @ Jan 22 2014, 13:55) У мен...   Jan 22 2014, 10:55
|- - iosifk   Цитата(rloc @ Jan 22 2014, 14:55) Xilinx ...   Jan 22 2014, 11:16
|- - rloc   Цитата(iosifk @ Jan 22 2014, 15:16) У мен...   Jan 22 2014, 11:28
|- - iosifk   Цитата(rloc @ Jan 22 2014, 15:28) Зачем п...   Jan 22 2014, 11:30
- - Dmitriyspb   Китайский аналог американского программатора стоит...   Dec 3 2013, 10:00
- - yes   а фузы (ОТР) в spartan6 он прошивать умеет? а то с...   Dec 3 2013, 10:07
|- - rloc   Цитата(yes @ Dec 3 2013, 14:07) а фузы (О...   Dec 3 2013, 10:49
- - Flood   Все правильно пишут, но на приведенной картинке то...   Dec 6 2013, 21:31
|- - ADA007   Цитата(Flood @ Dec 6 2013, 23:31) Перешив...   Dec 7 2013, 19:23
|- - ADA007   Цитата(Flood @ Dec 6 2013, 23:31) Там, гд...   Dec 11 2013, 12:28
- - Corner   Есть у меня HW-USB модуль на FT4232. Кто подскажет...   Dec 13 2013, 16:08
- - ADA007   Статья по это теме была опубликована в журнале Ком...   Mar 5 2014, 07:21
|- - rloc   iosifk помог?   Mar 5 2014, 07:24
|- - ADA007   Цитата(rloc @ Mar 5 2014, 09:24) iosifk п...   Mar 11 2014, 08:11
- - kleverd   Недавно у меня оказался в руках программатор Digil...   Apr 26 2014, 20:04
- - kleverd   По всей видимости пины ADBUS5 ADBUS6 ADBUS7 - это...   Apr 29 2014, 17:52
|- - rloc   Цитата(kleverd @ Apr 30 2014, 02:02) Ну и...   Jun 3 2014, 04:07
- - kleverd   Опытным путем подобрал содержимое файла с конфигур...   Jun 2 2014, 16:49
|- - rloc   Да   Dec 10 2014, 10:31
- - dima2640   Digilent JTAG-HS2 + Программатор MBFTDI в среде Al...   Mar 29 2015, 16:07
|- - rloc   Цитата(dima2640 @ Mar 29 2015, 20:07) Dig...   Mar 29 2015, 16:18
|- - dima2640   Цитата(rloc @ Mar 29 2015, 20:18) Пояснит...   Mar 29 2015, 17:28
|- - rloc   Цитата(dima2640 @ Mar 29 2015, 21:28) про...   Mar 29 2015, 17:34
|- - dima2640   Цитата(rloc @ Mar 29 2015, 21:34) Где об ...   Mar 29 2015, 17:46
|- - rloc   Почему нельзя было статично прилинковать ftd2xx.dl...   Mar 29 2015, 17:51
|- - dima2640   Цитата(rloc @ Mar 29 2015, 21:51) Почему ...   Mar 29 2015, 17:55
- - toshas   Кто-нибудь знает в чем отличие "новых" S...   Jan 23 2017, 08:48
|- - Zig   Цитата(toshas @ Jan 23 2017, 11:48) Кто-н...   Jan 24 2017, 17:55
|- - rloc   Цитата(toshas @ Jan 23 2017, 11:48) Кто-н...   Jan 24 2017, 19:39
- - toshas   Гляжу в книгу, вижу цветочек) rloc, Спасибо!   Jan 25 2017, 17:36
- - usserr   Немного поделюсь и своим опытом на эту тему, т.к. ...   Sep 27 2018, 22:04
- - usserr   UPDATE: Под Ubuntu 18 также программатор увиделся,...   Sep 28 2018, 11:39
- - rloc   В чем вопрос? Happy story?   Sep 28 2018, 11:53


Reply to this topicStart new topic
56 чел. читают эту тему (гостей: 56, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 28th April 2024 - 04:02
Рейтинг@Mail.ru


Страница сгенерированна за 0.01582 секунд с 7
ELECTRONIX ©2004-2016