реклама на сайте
подробности

 
 
> DDR3: Терминация сигналов CK, Выбор топологии
Stepanich
сообщение May 25 2018, 21:13
Сообщение #1


Местный
***

Группа: Свой
Сообщений: 232
Регистрация: 8-06-05
Из: Москва
Пользователь №: 5 847



Здравствуйте.

Нужен совет практиков. Проектируется узел с DDR3 MT41J128M16JT-125IT. Моделирование ЦС выполняется в Hyper Lynx. Для сигнала CK имеем ошибку по точке пересечения комплементарных сигналов:
Прикрепленное изображение


Стандартом JEDEC предписано следующее:
Прикрепленное изображение


Действительно, если посмотреть на осциллограммы сигналов CK_P и CK_N (пробник на кристалле памяти), видна асимметрия (227 мВ):
Прикрепленное изображение


Причём это связано с разными временами нарастания и спада:
Avg fall time: 119.773 ps Min fall time: 118.092 ps High voltage: 1.19 V
Max fall time: 130.519 ps Low voltage: 385.9 mV

Avg rise time: 93.433 ps Min rise time: 89.377 ps Low voltage: 385.9 mV
Max rise time: 95.155 ps High voltage: 1.19 V

Сигнал спадает медленнее, чем нарастает.

Если посмотреть на сигнал DQS (режим записи в память, пробник на кристалле памяти), то там асимметрия меньше (112 мВ):
Прикрепленное изображение


Хотя скорость нарастания и спада по-прежнему разная:

Avg fall time: 142.460 ps Min fall time: 141.122 ps High voltage: 1.20 V
Max fall time: 143.345 ps Low voltage: 326.8 mV

Avg rise time: 125.503 ps Min rise time: 121.370 ps Low voltage: 326.8 mV
Max rise time: 126.889 ps High voltage: 1.20 V.

Я подозреваю, что разница в том, что сигнал CK терминируется, как простой LVDS - на резистор 100 Ом (так рекомендует Micron в TN-46-14: "VTT does not terminate any DDR clock pairs. CK and CK# termination is a parallel 100...121 Ohm resistor between the two lines. Micron has found that only differential termination on CK and CK# produces optimal SI."), а DQS - уже на Vdd/2 внутри чипа памяти.

Прикрепленное изображение


Возможно, нужно поставить под сомнение рекомендацию производителя.

Вопрос: сталкивался ли кто-нибудь с необходимостью терминации сигналов тактирования CK на Vdd/2 вместо того, что рекомендует Micron? Или устранение данной проблемы нужно вести другим способом? В похожей теме ответа не нашёл.

Спасибо.
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- Stepanich   DDR3: Терминация сигналов CK   May 25 2018, 21:13
- - Uree   DDR3 ни разу не LVDS, и терминация 100 Ом между ли...   May 25 2018, 21:50
- - EvilWrecker   ЦитатаВопрос: сталкивался ли кто-нибудь с необходи...   May 26 2018, 02:25
- - gutzzz   Если не секрет, в чем разница?   May 29 2018, 13:54
|- - EvilWrecker   Цитата(gutzzz @ May 29 2018, 17:54) Если ...   May 29 2018, 15:17
- - Stepanich   Благодарю всех за ответы. Провёл сравнение исходн...   May 29 2018, 22:15
- - EvilWrecker   ЦитатаОднако в отчёте по-прежнему фигурирует несоо...   May 30 2018, 05:18
- - Stepanich   EvilWrecker, спасибо за ссылку. Что вы имеете в в...   May 30 2018, 13:43
- - EvilWrecker   ЦитатаЧто вы имеете в виду под сетапом? Откуда у в...   May 30 2018, 13:58
- - Stepanich   EvilWrecker, 1. Множество TL - это сегменты меанд...   May 30 2018, 14:46
- - EvilWrecker   Цитата1. Множество TL - это сегменты меандра. Да э...   May 30 2018, 14:56
- - Stepanich   EvilWrecker, 1. Это замечание нужно адресовать в ...   May 30 2018, 15:22
- - Карлсон   Цитата(Stepanich @ May 30 2018, 18:22) 3....   May 30 2018, 15:30
- - EvilWrecker   Цитата1. Это замечание нужно адресовать в Mentor, ...   May 30 2018, 15:35
- - Stepanich   EvilWrecker, 1. Окно экcпорта в LineSim: В чём ...   May 30 2018, 15:51
- - EvilWrecker   Цитата1. Окно экcпорта в LineSim: Честно вам говор...   May 30 2018, 16:02
|- - fill   Цитата(EvilWrecker @ May 30 2018, 19:02) ...   May 30 2018, 17:58
- - Stepanich   EvilWrecker, 1. Я же привёл всю цепь такта (CK) в...   May 30 2018, 16:19
|- - Карлсон   Цитата(Stepanich @ May 30 2018, 19:19) 2....   May 30 2018, 16:24
- - EvilWrecker   ЦитатаНекачественная пайка чего? Компонентов? Тогд...   May 30 2018, 16:31
- - Stepanich   Fill, спасибо за участие. EvilWrecker, Карлсон, я...   May 30 2018, 18:10
|- - Карлсон   Цитата(Stepanich @ May 30 2018, 21:10) Ка...   May 30 2018, 19:59
- - EvilWrecker   Цитата1. Включено экспортировать связанные сегмент...   May 30 2018, 18:12
|- - fill   Цитата(EvilWrecker @ May 30 2018, 21:12) ...   May 31 2018, 13:20
- - Stepanich   Карлсон, в терминах приложенной вами статьи: Annul...   May 30 2018, 20:24
|- - Димон   Цитата(Stepanich @ May 30 2018, 23:24) Ес...   May 30 2018, 20:29
|- - bigor   Цитата(Stepanich @ May 30 2018, 23:24) Ес...   May 31 2018, 10:45
- - Stepanich   Димон, к переходным отверстиям подключены проводни...   May 30 2018, 20:39
|- - Карлсон   Цитата(Stepanich @ May 30 2018, 23:39) к ...   May 30 2018, 20:53
- - Stepanich   Карлсон, полагаю (и знаю по различным проектам), ч...   May 30 2018, 21:13
|- - Aner   QUOTE (Stepanich @ May 31 2018, 00:13) Ка...   May 30 2018, 21:31
- - Stepanich   Aner, спасибо за ответ. Мы обязательно поставим на...   May 30 2018, 21:46
|- - Aner   QUOTE (Stepanich @ May 31 2018, 00:46) An...   May 31 2018, 07:54
- - EvilWrecker   ЦитатаСейчас проблема именно в модели и именно с с...   May 31 2018, 04:03
- - Volkov   7 Series FPGAs SelectIO Resources User Guide The ...   May 31 2018, 12:41
- - EvilWrecker   ЦитатаТо как вы подключаете low ESL кондеры, у вас...   May 31 2018, 13:16
- - EvilWrecker   ЦитатаДа. Понятно, спасибо- тогда позвольте уточня...   May 31 2018, 13:31
- - Aner   Нет так лучше не играься. Вы присмотритесь к его д...   May 31 2018, 13:32
- - fill   Ребята перечитайте исходное сообщение ТС. Он вас с...   May 31 2018, 13:53
|- - Corvus   Цитата(fill @ May 31 2018, 16:53) Ребята ...   May 31 2018, 14:29
|- - fill   Цитата(Corvus @ May 31 2018, 17:29) Так л...   May 31 2018, 15:51
- - EvilWrecker   ЦитатаОн вас спрашивал только о том как решить про...   May 31 2018, 14:02
- - Volkov   вот еще, в коллекцию. "Termination to GND ...   May 31 2018, 14:14
- - EvilWrecker   Цитата"Termination to GND is recommended for ...   May 31 2018, 14:59
- - Stepanich   Всем доброго дня. 1. Ошибка с различным расстояни...   May 31 2018, 15:16
|- - Volkov   Цитата(Stepanich @ May 31 2018, 18:16) 2....   May 31 2018, 17:03
|- - fill   Цитата(Volkov @ May 31 2018, 20:03) Не по...   May 31 2018, 18:05
- - EvilWrecker   ЦитатаВыполнено сравнительное моделирование ...   May 31 2018, 15:48
- - EvilWrecker   Ну это наверное близко к очевидному - если при ...   May 31 2018, 16:17
|- - fill   Цитата(EvilWrecker @ May 31 2018, 19:17) ...   May 31 2018, 17:12
- - EvilWrecker   ЦитатаВполне возможно. Но ее не я выбирал, а ТС. И...   May 31 2018, 17:25
- - Volkov   RE: DDR3: Терминация сигналов CK   May 31 2018, 17:35
- - EvilWrecker   Благодарю- а если TL c 65 ОМ поменять на 50(плюс м...   May 31 2018, 17:37
- - Volkov   Вот что. С 50 Ом трассой, и терминацией 50 Ом + Д...   May 31 2018, 18:08
- - EvilWrecker   ЦитатаВот результаты с вашей и с той моделью что д...   May 31 2018, 18:18
- - Volkov   Трассса 50 Ом + Скомп + Джиттер 1% UI Gausian. ...   May 31 2018, 18:24
- - EvilWrecker   Вы показываете total jitter, но это все можно увид...   May 31 2018, 18:32
- - Stepanich   Volkov, спасибо за участие. 1. Две микросхемы пам...   May 31 2018, 18:46
|- - Volkov   Цитата(Stepanich @ May 31 2018, 21:46) Ин...   May 31 2018, 20:23
|- - fill   Цитата(Volkov @ May 31 2018, 23:23) Не зн...   Jun 1 2018, 06:49
- - Stepanich   Volkov, по-моему я понял, почему у вас не заработа...   May 31 2018, 20:43
- - EvilWrecker   ЦитатаПо поводу конденсатора - то в JEDEC референс...   Jun 1 2018, 05:09
- - EvilWrecker   ЦитатаВ вашем файле модели нет секции [Package Mo...   Jun 1 2018, 07:25
- - Volkov   Да, действитель не добавились. Но тут, похоже, не...   Jun 1 2018, 15:30
|- - fill   Цитата(Volkov @ Jun 1 2018, 18:30) Похоже...   Jun 2 2018, 08:10
- - EvilWrecker   Максимумы и минимумы так и должны быть, главное чт...   Jun 1 2018, 15:53
- - Volkov   Другая модель. Длина основного сегмента - 2.9 in. ...   Jun 1 2018, 16:00
- - Stepanich   Volkov, попробовал две различные длины основного у...   Jun 1 2018, 16:04
- - EvilWrecker   ЦитатаДругая модель. Модель линии покажите заодно-...   Jun 1 2018, 16:05
|- - Volkov   Цитата(EvilWrecker @ Jun 1 2018, 19:05) М...   Jun 1 2018, 17:48
|- - EvilWrecker   Цитата(Volkov @ Jun 1 2018, 20:48) Та да,...   Jun 1 2018, 18:14
|- - Volkov   Цитата(EvilWrecker @ Jun 1 2018, 21:14) ...   Jun 2 2018, 12:36
- - EvilWrecker   ЦитатаЭто как на картинке слева - намного лучше. М...   Jun 2 2018, 13:28
|- - Volkov   Цитата(EvilWrecker @ Jun 2 2018, 16:28) М...   Jun 2 2018, 14:21
- - EvilWrecker   ЦитатаА почему бы вам не ответить, на свой же вопр...   Jun 2 2018, 14:54
- - Stepanich   Volkov или другие специалисты, вы не подскажете с ...   Jun 4 2018, 14:39
- - Aner   Изучите JEDEC STANDARD для вашей DDR3L SDRAM, поск...   Jun 4 2018, 19:11
- - Stepanich   Aner, спасибо. То есть правило такое: выравниваем ...   Jun 4 2018, 19:37
|- - Aner   QUOTE (Stepanich @ Jun 4 2018, 22:37) Ane...   Jun 4 2018, 20:16
- - Stepanich   У Xilinx даже аппаратный контроллер в Zynq требует...   Jun 4 2018, 20:22
- - Aner   По ПЛИС (Artix-7) Это понятно? ... I/O Logic Input...   Jun 4 2018, 20:28
- - Stepanich   Aner, понятно. Сейчас говорим про Артикс. Наверное...   Jun 4 2018, 20:36
- - Aner   Там же вот ответ по Цинку: ... open a synthesized ...   Jun 4 2018, 20:43
- - Stepanich   Aner, уже сделал. Очень удобно. Да, только схему ...   Jun 4 2018, 20:43
|- - Aner   QUOTE (Stepanich @ Jun 4 2018, 23:43) Ane...   Jun 4 2018, 20:48
- - Stepanich   Aner, несоответствие стандарту по уровню пересечен...   Jun 4 2018, 20:51
|- - Aner   QUOTE (Stepanich @ Jun 4 2018, 23:51) Ane...   Jun 4 2018, 20:59
- - vladec   Цитатанужно учитывать задержки внутри корпуса ПЛИС...   Jun 5 2018, 07:10
- - Stepanich   Товарищи, всем спасибо за ответы. На форуме Xilin...   Jun 5 2018, 18:17
- - Uree   Внутри пары PCIE - да, надо учитывать, хотя они уж...   Jun 5 2018, 20:34


Reply to this topicStart new topic
3 чел. читают эту тему (гостей: 3, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 29th April 2024 - 03:31
Рейтинг@Mail.ru


Страница сгенерированна за 0.01672 секунд с 7
ELECTRONIX ©2004-2016