реклама на сайте
подробности

 
 
 
Reply to this topicStart new topic
> Serial conect 2 fifo
Maverick
сообщение Jul 11 2017, 23:28
Сообщение #1


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Подскжите пожалуйста.
В общем есть приемник и передатчик.
Каждый имеет двухклоковое фифо.
Глубина фифо одинаковая для приемника и передатчика.
Как замкнуть выход фифо приемника на вход фифо передатчика используя минимум логики? Сделать так называемый
loopback
Желательно без FSM, интересует так сказать потоковое описание.


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
andrew_b
сообщение Jul 12 2017, 04:37
Сообщение #2


Профессионал
*****

Группа: Свой
Сообщений: 1 975
Регистрация: 30-12-04
Из: Воронеж
Пользователь №: 1 757



Как только в приёмном FIFO что-то появляется, тут же его вычитывать. Т. е.
Код
rx_fifo_rd <= not rx_fifo_empty;

tx_fifo_din <= rx_fifo_dout;
tx_fifo_wr <= rx_fifo_valid;

rx_fifo_valid берётся либо из самого FIFO, либо задерживается на такт rx_fifo_rd.
Go to the top of the page
 
+Quote Post
Maverick
сообщение Jul 12 2017, 21:03
Сообщение #3


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(andrew_b @ Jul 12 2017, 07:37) *
Как только в приёмном FIFO что-то появляется, тут же его вычитывать. Т. е.
Код
rx_fifo_rd <= not rx_fifo_empty;

tx_fifo_din <= rx_fifo_dout;
tx_fifo_wr <= rx_fifo_valid;

rx_fifo_valid берётся либо из самого FIFO, либо задерживается на такт rx_fifo_rd.

Спасибо за помощь


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
Maverick
сообщение Jul 24 2017, 08:46
Сообщение #4


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



У кого-то нет описания двухклокового фифо
с разной шириной шин данных для входа и выхода
https://www.altera.com/en_US/pdfs/literature/ug/ug_fifo.pdf
только для арии 10

Время поджимает... Буду очень благодарен за помощь, мне надо 128 бит вход, выход 8 бит. Объем 4096 байт.
ПЛИС Циклон 5 или Макс 10
Спасибо.

Раньше ж вроде корка поддерживала Different Input and Output Width. У меня квартус 16.
Изобретать велосипед?


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
Maverick
сообщение Jul 24 2017, 12:58
Сообщение #5


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Цитата(Maverick @ Jul 24 2017, 11:46) *
Раньше ж вроде корка поддерживала Different Input and Output Width. У меня квартус 16.
Изобретать велосипед?

вот для 15 квартуса
где я так понимаю есть поддержка

Прошу помощи 1111493779.gif


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post
Maverick
сообщение Jul 24 2017, 15:05
Сообщение #6


я только учусь...
******

Группа: Модераторы
Сообщений: 3 447
Регистрация: 29-01-07
Из: Украина
Пользователь №: 24 839



Всем спасибо разобрался sm.gif


--------------------
If it doesn't work in simulation, it won't work on the board.

"Ты живешь в своих поступках, а не в теле. Ты — это твои действия, и нет другого тебя" Антуан де Сент-Экзюпери повесть "Маленький принц"
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 27th April 2024 - 08:23
Рейтинг@Mail.ru


Страница сгенерированна за 0.01386 секунд с 7
ELECTRONIX ©2004-2016