реклама на сайте
подробности

 
 
> Библиотека и modelsim, проблемы
Костян
сообщение Aug 14 2006, 12:04
Сообщение #1


Знающий
****

Группа: Свой
Сообщений: 740
Регистрация: 24-07-06
Из: Minsk
Пользователь №: 19 059



В проекте используются следуюшие библиотеки (тест ядра picoblaze для spartan3)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;

Webpack 6.1i не выдает ошибок. Запускаю моделирование в ModelsimSE 6.1e , начинается ругань на
** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory. (errno = ENOENT)
# ** Error: E:/Modeltech_6.1e/win32/vcom failed.

В чем причина. Ручное добавления библиотек в папку с modelsim положительных результатов не дает.
Go to the top of the page
 
+Quote Post
 
Start new topic
Ответов (1 - 4)
Vadim
сообщение Aug 14 2006, 14:40
Сообщение #2


Неиодный дизайнер
*****

Группа: Свой
Сообщений: 1 240
Регистрация: 1-12-04
Из: Минск
Пользователь №: 1 273



Цитата(Костян @ Aug 14 2006, 15:04) *
В проекте используются следуюшие библиотеки (тест ядра picoblaze для spartan3)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;

Webpack 6.1i не выдает ошибок. Запускаю моделирование в ModelsimSE 6.1e , начинается ругань на
** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory. (errno = ENOENT)
# ** Error: E:/Modeltech_6.1e/win32/vcom failed.

В чем причина. Ручное добавления библиотек в папку с modelsim положительных результатов не дает.

Сегодня как раз с этим бился - было подозрение, что ActiveHDL глючит, решил проверить моделсимом. Победил так (у меня Modelsim 6.2):
1. В c:\Modeltech_6.2a\vhdl_src\ создал папку unisim и скопировал туда пакеты.
2. Запустил моделсим и создал библиотеку unisim. Путь для нее указал c:\Modeltech_6.2a
3. Откомпилировал файлы из c:\Modeltech_6.2a\vhdl_src\unisim в библиотеку unisim
4. Закрыл моделсим и отредактировал файл c:\Modeltech_6.2a\modelsim.ini (предварительно убрав атрибут "только чтение"), добавив в него строчку unisim = $MODEL_TECH/../unisim (раздел [Library])
Все фунциклирует smile.gif


--------------------
SPECCTRA forever! IO/Designer forever!
Go to the top of the page
 
+Quote Post
vitus_strom
сообщение Aug 14 2006, 15:59
Сообщение #3


Знающий
****

Группа: Свой
Сообщений: 553
Регистрация: 15-10-04
Пользователь №: 877



compxlib вас спасет
Go to the top of the page
 
+Quote Post
maksya
сообщение Aug 15 2006, 08:22
Сообщение #4


Местный
***

Группа: Свой
Сообщений: 253
Регистрация: 28-08-04
Из: Ленинград
Пользователь №: 562



Цитата(Vadim @ Aug 14 2006, 18:40) *
Победил так (у меня Modelsim 6.2):
1. В c:\Modeltech_6.2a\vhdl_src\ создал папку unisim и скопировал туда пакеты.
2. Запустил моделсим и создал библиотеку unisim. Путь для нее указал c:\Modeltech_6.2a
3. Откомпилировал файлы из c:\Modeltech_6.2a\vhdl_src\unisim в библиотеку unisim
4. Закрыл моделсим и отредактировал файл c:\Modeltech_6.2a\modelsim.ini (предварительно убрав атрибут "только чтение"), добавив в него строчку unisim = $MODEL_TECH/../unisim (раздел [Library])

IMHO, ценным является только третий пункт. Важно наличие откомпилированной библиотеки в рабочем каталоге.


--------------------
Лень - это не врожденное чувство русского человека, а средство борьбы с неуемной, но бестолковой энергией начальника.
Go to the top of the page
 
+Quote Post
Костян
сообщение Aug 15 2006, 09:26
Сообщение #5


Знающий
****

Группа: Свой
Сообщений: 740
Регистрация: 24-07-06
Из: Minsk
Пользователь №: 19 059



Благодарю за ответы. Откомпилировал библиотеки -- работает. Кстатит не досмотрел , была уже похожая тема
http://electronix.ru/forum/index.php?showtopic=18777 (пост 11)
Go to the top of the page
 
+Quote Post

Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 25th July 2025 - 02:12
Рейтинг@Mail.ru


Страница сгенерированна за 0.01364 секунд с 7
ELECTRONIX ©2004-2016