Цитата(Костян @ Aug 14 2006, 15:04)

В проекте используются следуюшие библиотеки (тест ядра picoblaze для spartan3)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
Webpack 6.1i не выдает ошибок. Запускаю моделирование в ModelsimSE 6.1e , начинается ругань на
** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory. (errno = ENOENT)
# ** Error: E:/Modeltech_6.1e/win32/vcom failed.
В чем причина. Ручное добавления библиотек в папку с modelsim положительных результатов не дает.
Сегодня как раз с этим бился - было подозрение, что ActiveHDL глючит, решил проверить моделсимом. Победил так (у меня Modelsim 6.2):
1. В
c:\Modeltech_6.2a\vhdl_src\ создал папку
unisim и скопировал туда пакеты.
2. Запустил моделсим и создал библиотеку
unisim. Путь для нее указал
c:\Modeltech_6.2a3. Откомпилировал файлы из
c:\Modeltech_6.2a\vhdl_src\unisim в библиотеку
unisim4. Закрыл моделсим и отредактировал файл
c:\Modeltech_6.2a\modelsim.ini (предварительно убрав атрибут "только чтение"), добавив в него строчку
unisim = $MODEL_TECH/../unisim (раздел
[Library])
Все фунциклирует