реклама на сайте
подробности

 
 
> Вопрос новичка по VHDL, Не работает "after Xms"
asya
сообщение May 11 2005, 01:06
Сообщение #1


Участник
*

Группа: Свой
Сообщений: 43
Регистрация: 4-02-05
Пользователь №: 2 412



Вот такой вот код написал чтобы проверить работу директивы (или как это еще назвать) after.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity top is
Port ( a : in std_logic;
b : out std_logic);
end top;

architecture Behavioral of top is
begin
b<=a after 1000ms;
end Behavioral;
И проблема в том, что не работает. Вернее работает, но не так, как я себе это представлял. Происходит мгновенное срабатывание, а нес задержкой в одну секунду. (проверял на глаз, поэтому и поставил такую большую задержку)
Что не так?
Пользуюсь Xilinx ISE и Spartan3.
ЗЫЖ ногами не пинайте если я тут глупости наговорил, я новичек еще. smile.gif
Go to the top of the page
 
+Quote Post

Сообщений в этой теме
- asya   Вопрос новичка по VHDL   May 11 2005, 01:06
- - des00   Цитата(asya @ May 10 2005, 20:06)Вот такой во...   May 11 2005, 04:20
- - makc   Как уже правильно заметил des00, это несинтезируем...   May 11 2005, 05:16
- - asya   Цитата(makc @ May 11 2005, 09:16)Как уже прав...   May 11 2005, 11:17
- - Pechkin   На все вопросы не отвечу, а отвечу только на : Цит...   May 11 2005, 12:20
- - andrew_b   Цитата(asya @ May 11 2005, 15:17)сразу синтез...   May 11 2005, 13:05
|- - makc   Цитата(andrew_b @ May 11 2005, 16:05)Цитата(a...   May 11 2005, 13:18
- - des00   Цитата(asya @ May 11 2005, 06:17)и еще, я вид...   May 11 2005, 13:21
- - asya   Цитата(des00 @ May 11 2005, 17:21)немного доб...   May 11 2005, 20:54
- - anatol1983   Цитата(asya @ May 12 2005, 00:54)Цитата(des00...   May 11 2005, 23:37
- - asya   Цитата(anatol1983 @ May 12 2005, 03:37)в архи...   May 12 2005, 00:29
- - asya   прочитал про процессы. т.е. process. я правильно п...   May 12 2005, 02:10
- - des00   Цитата(asya @ May 11 2005, 21:10)прочитал про...   May 12 2005, 04:28
- - asya   Цитата(des00 @ May 12 2005, 08:28)ИМХО нет, е...   May 12 2005, 21:19
- - anatol1983   Цитата(asya @ May 13 2005, 01:19)Цитата(des00...   May 12 2005, 23:34
- - asya   Цитата(anatol1983 @ May 13 2005, 03:34)Ну что...   May 13 2005, 01:36
- - anatol1983   Цитата(asya @ May 13 2005, 05:36)вот так все ...   May 14 2005, 23:17
|- - asya   КодС<=A+B;  -- отображается в сумматор; proces...   May 15 2005, 12:54
|- - anatol1983   Цитата(asya @ May 15 2005, 16:54)КодС<=A+...   May 15 2005, 23:57
|- - asya   Цитата(anatol1983 @ May 16 2005, 03:57)Точки ...   May 16 2005, 02:04
- - des00   Цитата(asya @ May 12 2005, 20:36)вот так все ...   May 16 2005, 03:17
- - andrew_b   Цитата(des00 @ May 16 2005, 07:17)Я имел в ви...   May 16 2005, 05:25
- - des00   Цитата(andrew_b @ May 16 2005, 00:25)Цитата(d...   May 16 2005, 06:19


Reply to this topicStart new topic
1 чел. читают эту тему (гостей: 1, скрытых пользователей: 0)
Пользователей: 0

 


RSS Текстовая версия Сейчас: 23rd August 2025 - 10:47
Рейтинг@Mail.ru


Страница сгенерированна за 0.01383 секунд с 7
ELECTRONIX ©2004-2016