Цитата(Ensom ulv @ Mar 5 2015, 00:49)

CLK я вывел на кнопку, как работать с кварцем я пока не разобрался толком.
На плате стоит защита от дребезка.
Так же замена cnt с variable на signal ситуации не изменило.
Кварцевый генератор начинает работать сразу же после подачи питания, все что Вам надо - посмотреть в мануале на какой вывод он заведен.
Не претендуя на истину в последней инстанции, выкладываю пример моего счетчика.
Код
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Count16 is
port(
clk, rst, ena,up:in std_logic;
Dout:out std_logic_vector(15 downto 0);
carry:out std_logic
);
end entity;
architecture cn of count16 is
begin
process(clk)
variable cnt_v:unsigned(16 downto 0);
variable car_v:std_logic;
constant zero:unsigned(16 downto 0):="00000000000000000";
constant step:unsigned(16 downto 0):="00000000000000001";
constant max:unsigned(16 downto 0):="10000000000000000";
variable up_v:std_logic_vector(2 downto 0);
begin
if(rising_edge(clk))then
up_v:=up_v(1 downto 0)&up;--shift for detect rising edge
if(rst='1')then
cnt_v:=zero;
car_v:='0';
up_v:="000";
else
if(ena='1')then
if(car_v='0')then
if(up_v="011")then
cnt_v:=cnt_v+step;
if(cnt_v>=max)then
car_v:='1';
else
car_v:='0';
end if;
end if;
end if;
end if;
end if;
Dout<=std_logic_vector(cnt_v(15 downto 0));
carry<=car_v;
end if;
end process;
end cn;
Сигнал clk-тактирование, ena -разрешение счета, rst-сброс, up- инкремент счетчика (при rst=0 и ena =1).
Dout- выход счетчика, carry- признак достижения максимума.