Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: протокол передачи - AURORA 64b/66b
Форум разработчиков электроники ELECTRONIX.ru > Интерфейсы > Форумы по интерфейсам
hendehoh
Добрый день всем! Не могу разобраться с Авророй. Есть IP core [3-и линии, 6.144 Гбит/с, передача фреймами, с использованием AXI/да можно и без него.]. Работаю в ActiveHDL симуляторе. Есть сгенерированный пример и тестбенч. При симуляции тестбенча - не могу понять какие сигналы - данные. Логично предположить RXP (RX_positive) и TXP. В тестбенче сигналы замкнуты на себя (кольцом). Вот описание портов тестбенча:
Код
    port  (
    RESET                                 : in  std_logic;
    --Error Detection Interface
    HARD_ERR                            : out std_logic;
    SOFT_ERR                            : out std_logic;
    DATA_ERR_COUNT                      : out std_logic_vector(0 to 7);
    -- Status
    LANE_UP                               : out std_logic_vector(0 to 2);
    CHANNEL_UP                            : out std_logic;
    --System Interface
    INIT_CLK                              : in  std_logic;
    PMA_INIT                              : in  std_logic;
    -- GTX Reference Clock Interface
    GTXQ0_P                       : in  std_logic;
    GTXQ0_N                       : in  std_logic;
    GTXQ2_P                      : in  std_logic;
    GTXQ2_N                      : in  std_logic;
    --GTX Serial I/O
    RXP                                   : in  std_logic_vector(0 to 2);
    RXN                                   : in  std_logic_vector(0 to 2);
    TXP                                   : out std_logic_vector(0 to 2);
    TXN                                   : out std_logic_vector(0 to 2));

последние четыре порта. Но как я понял из описания в даташите на аврору - это GTX входы и выходы, т.е. с трансиверов. Т.е. как я понимаю на RXP подается уже упакованный/кодированный фрейм? Или нет? Почему такая разрядность? 0-2: это 3-и битных линии? В каком тогда формате передаются данные?

В итоге хочу найти сигнал/линию на которую могу ручками подать поток данных (например 0xAA) и посмотреть что на выходе авроры(т.е. кодированный фрейм) ошибки, флаги, и затем сымитировать подключение со второй авророй - и посмотреть на выходе - то что я посылал (0хАА). Если посмотреть то что написано в комментариях к сгенерированному тестбенчу - это и реализованно в нем. однако при симуляции (если я вручную меняю входы - то выходы неизменны). Что-то здесь не так.
hendehoh
RX Data в юзер интерфейсе и TX Data там же - это 32-разрядные КОДИРОВАННЫЕ данные (т.е. фреймы), А RXP/TXP - в GT интерфейсе - это 1-а битная линия данных НЕКОДИРОВАННЫХ? Так ли я рассудил. Ведь по интерфейсам - все должно быть явно наоборот, но из тестбенча выходит это. Если кто работал с авророй опишите пож. данную ситуацию
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.