Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: USB-to-JTAG шнурок для XILINX
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
Страницы: 1, 2, 3
Doka
Собственно тема:
В Spartan-3E Starter Kit (HW-SPAR3E-SK-US) реализован JTAG на известном чипе CY7C68013A-100AXC. Прошивка чипа грузится динамически драйвером, при распознании VID & PID, одключеного к USB устройства (VID & PID прописаны в 24LC00-I/ST (Serial EEPROM, 128Bit) также находящуюся на плате).
тут вопросов по технике клонирования нет.
но вот сам FX2 дальше подключается к XC2C256-6VQ100C :(
и тут уже очередь спрошных предположений: возможно, там просто собран конвертор parallel-to-serial собственно для JTAG'a , а возможно встроена какая-л. защита чтобы ПО периодически дергало CPLD, а она свою хитрую сигнатуру генерила и отсылала для проверки - а родной ли девайс подключен к порту?
.
за второе предположение говорит тот факт что для "просто конвертора" необязательно было ставить такой "жирный" кристалл (тем более на бюджетный КИТ)
за первое: уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?
да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.
.
восстановить схему - вопрос свободного времени и усидчивости
а вот как решать вопрос с прошивкой CPLD хотелось бы услышать Ваше мнение.


_____________
upd: зачем всё это нужно?!
1) интерфейс USB - no comments.
2) поддержка родными САПР
3) самое вкусное: поддержка аппаратной со-симуляции (USB 2.0 рулит!) - Нажмите для просмотра прикрепленного файла
-Al-
Цитата
но вот сам FX2 дальше подключается к XC2C256-6VQ100C sad.gif
и тут уже очередь спрошных предположений: возможно, там просто собран конвертор parallel-to-serial собственно для JTAG'a , а возможно встроена какая-л. защита чтобы ПО периодически дергало CPLD, а она свою хитрую сигнатуру генерила и отсылала для проверки - а родной ли девайс подключен к порту?

Ну это можно проверить, хотябы поковыряв прошивку FX2...

По поводу прошивки CPLD - действительно можно только догадываться, т.к. выдрать ее не получится...
Dainis
Цитата(-Al- @ Aug 3 2006, 13:44) *
Ну это можно проверить, хотябы поковыряв прошивку FX2...

По поводу прошивки CPLD - действительно можно только догадываться, т.к. выдрать ее не получится...


А надо посматреть, нет ли случаино прошивки CPLD в драйвере JTAG ... ???
-Al-
Цитата(Dainis @ Aug 3 2006, 16:16) *
Цитата(-Al- @ Aug 3 2006, 13:44) *

Ну это можно проверить, хотябы поковыряв прошивку FX2...

По поводу прошивки CPLD - действительно можно только догадываться, т.к. выдрать ее не получится...


А надо посматреть, нет ли случаино прошивки CPLD в драйвере JTAG ... ???

А вот это точно врядли....
Doka
Цитата(-Al- @ Aug 3 2006, 22:28) *
Цитата(Dainis @ Aug 3 2006, 16:16) *
А надо посматреть, нет ли случаино прошивки CPLD в драйвере JTAG ... ???

А вот это точно врядли....


ну отчего же?
аргументы:
1) на фомуре как-то давно такая тема была: сняли прошивку CPLD через USB-сниффер - вполне возможно что производитель и тут предусмотрел возможность апдейта прошивки CPLD .
2) не думаю, что в XILINX работают мегапараноики - если начинка прошивки обеспечивает достаточную степень защиты , то нафига другие меры?!?!
.
есть еще такой вариант: попробовать пропатчить драйвер - тогда CPLD можно нафиг выкинуть
есть тут специалисты подобного профиля?!
драйвер, судя по мануала на КИТ входит в стандартную поставку ISE (WebPack):
Код
Programming via iMPACT
After successfully compiling an FPGA design using the Xilinx development software, the design can be downloaded using the iMPACT programming software and the USB cable. To begin programming, connect the USB cable to the starter kit board and apply power to the board.
antti
Цитата(Doka @ Aug 3 2006, 13:59) *
Собственно тема:
В Spartan-3E Starter Kit (HW-SPAR3E-SK-US) реализован JTAG на известном чипе CY7C68013A-100AXC. Прошивка чипа грузится динамически драйвером, при распознании VID & PID, одключеного к USB устройства (VID & PID прописаны в 24LC00-I/ST (Serial EEPROM, 128Bit) также находящуюся на плате).
тут вопросов по технике клонирования нет.
но вот сам FX2 дальше подключается к XC2C256-6VQ100C sad.gif
и тут уже очередь спрошных предположений: возможно, там просто собран конвертор parallel-to-serial собственно для JTAG'a , а возможно встроена какая-л. защита чтобы ПО периодически дергало CPLD, а она свою хитрую сигнатуру генерила и отсылала для проверки - а родной ли девайс подключен к порту?
.
за второе предположение говорит тот факт что для "просто конвертора" необязательно было ставить такой "жирный" кристалл (тем более на бюджетный КИТ)
за первое: уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?
да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.
.
восстановить схему - вопрос свободного времени и усидчивости
а вот как решать вопрос с прошивкой CPLD хотелось бы услышать Ваше мнение.


_____________
upd: зачем всё это нужно?!
1) интерфейс USB - no comments.
2) поддержка родными САПР
3) самое вкусное: поддержка аппаратной со-симуляции (USB 2.0 рулит!) - Нажмите для просмотра прикрепленного файла


http://inisyn.org/src/xup/

tam projekt dlja FX2 i CPLD !!


Antti
Doka
Цитата(antti @ Aug 4 2006, 00:16) *
http://inisyn.org/src/xup/

tam projekt dlja FX2 i CPLD !!

Antti


за ссылку спасибо, но это не совсем то
там описано как элементы, предназначенные для Житага на КИТе использовать с линуховым программатором ПЛИС
соответственно у них свои исходники для FX2 и CPLD
это:
Код
-- prog.vhd -- xc2c256 cpld for usb jtag
--
-- copyright (c) 2006 inisyn research
-- license: LGPL2
--
-- revision history:
-- 2006-05-27 initial
--

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity prog is
    port(
        sys_tck : out std_logic;
        sys_tms : out std_logic;
        sys_tdi : out std_logic;
        sys_tdo : in std_logic;

        cy_tck  : in std_logic;
        cy_tms  : in std_logic;
        cy_tdi  : in std_logic;
        cy_tdo  : out std_logic
    );
end prog;

architecture syn of prog is
begin
    sys_tck <= cy_tck;
    sys_tms <= cy_tms;
    sys_tdi <= cy_tdi;
    cy_tdo <= sys_tdo;
end syn;

ну никак не тянет на описание IP от XILINX
да и сигнальных линий на самой плате между FX2 и CPLD реально гораздо больше разведено.
.
если не нужна привязка к родным САПР, то вообще можно референс-дизайн USBшной части AVNETовского КИТа повторить на своей плате - там вообще FX2 напрямую к JTAG и конфигурационной SPI подоткнута =)
есть схема, исходники прошивки FX2 и прога с исходниками под винды для загрузки плис по житаг и прграммированию SPI-flash.
ЗЫ: кому интересно - могу выложить инф.
-Al-
Цитата(Doka @ Aug 4 2006, 00:04) *
ну отчего же?
аргументы:
1) на фомуре как-то давно такая тема была: сняли прошивку CPLD через USB-сниффер - вполне возможно что производитель и тут предусмотрел возможность апдейта прошивки CPLD .

Ну если Xilinx действительно сотворил такую глупость, как апдейт прошивки CPLD по USB, то накой вообще тогда нужна эта CPLD?? тут уже и сам FX2 со всем бы справился....
PS схемы данного деваайса, как я понимаю, еще нет?
Цитата
2) не думаю, что в XILINX работают мегапараноики - если начинка прошивки обеспечивает достаточную степень защиты , то нафига другие меры?!?!
.
есть еще такой вариант: попробовать пропатчить драйвер - тогда CPLD можно нафиг выкинуть
есть тут специалисты подобного профиля?!
драйвер, судя по мануала на КИТ входит в стандартную поставку ISE (WebPack):

Какие другие меры?? (мегапараноики, кстати, работают в Nokia....)
Dainis
Цитата(-Al- @ Aug 3 2006, 21:28) *
Цитата(Dainis @ Aug 3 2006, 16:16) *

Цитата(-Al- @ Aug 3 2006, 13:44) *

Ну это можно проверить, хотябы поковыряв прошивку FX2...

По поводу прошивки CPLD - действительно можно только догадываться, т.к. выдрать ее не получится...


А надо посматреть, нет ли случаино прошивки CPLD в драйвере JTAG ... ???

А вот это точно врядли....


http://inisyn.org/src/xup/

# download xup's pre-built programmer CPLD image
# you only need to do this when installing/upgrading xup,
# or switching between iMPACT and xup

???
-Al-
Цитата(Dainis @ Aug 4 2006, 10:55) *
http://inisyn.org/src/xup/

# download xup's pre-built programmer CPLD image
# you only need to do this when installing/upgrading xup,
# or switching between iMPACT and xup

???


Тогда в чем же проблема?? Получается, что бери и копируй схему и все....
Doka
Цитата(-Al- @ Aug 4 2006, 10:30) *
Ну если Xilinx действительно сотворил такую глупость, как апдейт прошивки CPLD по USB, то накой вообще тогда нужна эта CPLD?? тут уже и сам FX2 со всем бы справился....

скорость.. конвертер parallel-to-serial на FX2 не особо шустрый получится - для прошивки это незаметно, а вот при юзании такой фичи, как аппаратная со-симуляция может сыграть большую роль.

Цитата(-Al- @ Aug 4 2006, 10:30) *
PS схемы данного деваайса, как я понимаю, еще нет?

есть полный гербер и ВОМ. Прежде чем рисовать с него схему хотелось бы убедиться в целесообразности этого - т.е. решить вопрос с прошивкой CPLD
...впрочем, .. для желающих... вот файлы :
Нажмите для просмотра прикрепленного файла
Нажмите для просмотра прикрепленного файла

Цитата(-Al- @ Aug 4 2006, 10:30) *
Какие другие меры?? (мегапараноики, кстати, работают в Nokia....)

эти:
Цитата(Doka @ Aug 3 2006, 19:30) *
уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?
да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.



//======================================================//
Цитата(Dainis @ Aug 4 2006, 10:55) *
http://inisyn.org/src/xup/

# download xup's pre-built programmer CPLD image
# you only need to do this when installing/upgrading xup,
# or switching between iMPACT and xup

???

вот-вот!!!
????? и как ентот switching на практике осуществить?
они дают одну прошивку - CPLD по сути просто транслирует сигнал сквозь себя..
неужели они всю proprietary-логику из CPLD в прошивку FX2 перенесли??
-Al-
Цитата(Doka @ Aug 3 2006, 19:30) *
уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?
да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.

Ну это даже сложно назвать 'мерами защиты'....

Цитата
????? и как ентот switching на практике осуществить?
они дают одну прошивку - CPLD по сути просто транслирует сигнал сквозь себя..
неужели они всю proprietary-логику из CPLD в прошивку FX2 перенесли??

Ничего они не переносили, там написано, что это только Bit-bang JTAG и все...

Информация для размышления тут

Почему-то Xilinx охраняет именно протокол обмена с этим девайсом, а не сам девайс... Видимо, чтобы нельзя было использовать его в своих целях со своим ПО...
iosifk
Цитата(-Al- @ Aug 4 2006, 11:31) *
Цитата(Doka @ Aug 3 2006, 19:30) *

уж очень они охраняют эту схемку - в последней версии документации вычистили всё: и черный квадрат малевича на месте USB-to-JTAG в гербер-файлах нарисовали, и ВОМ подправили. - чего было так опасатся, если бы CPLD так защищала интеллектуальную собственность?!?
да и сама разводка USB-to-JTAG на плате сделана так , чтобы затруднить копирование - большинство сигнальных цепей от FX2 k CPLD загнали во внутренние слоя.

Ну это даже сложно назвать 'мерами защиты'....

Цитата
????? и как ентот switching на практике осуществить?
они дают одну прошивку - CPLD по сути просто транслирует сигнал сквозь себя..
неужели они всю proprietary-логику из CPLD в прошивку FX2 перенесли??

Ничего они не переносили, там написано, что это только Bit-bang JTAG и все...

Информация для размышления тут

Почему-то Xilinx охраняет именно протокол обмена с этим девайсом, а не сам девайс...

Писал я этому парню, Laurent но у него пока ничего нет.
А что касается "охраняет", так они все это делают. (удерживаю себя, чтобы не написать "по-жизни").
Значит, задавал вопрос этот, когда приезжал семинар ADI:
"вот у клиента припаян BlackFin и он хочет делать Boundary Scan, причем деньги за железку он уже заплатил. Дайте описание, как добраться до пинов TMS, TCK, и тд. через Ваш драйвер к Вашей железке! " Ответ: "Нет, низачто!!! Эти деньги только нам за нашу железку, а хотите тестировать, заплатите другие деньги другому дяде!!!"
Тоже самое ответил NEC.
Это же и у Ксайлинкса.
Это же и у Альтеры.

По поводу CPLD у меня есть только правда догадки (не начем проверить сейчас), что они взяли кабель от LPT порта, в котором есть CPLD, это кажется кабель №4 и к нему сделали переходник USB - LPT.
Потому что чипы FTDI с их драйвером JTAG не могут передавать больше чем 128Кбайт, а это недостаточно для загрузки чипа FPGA, если бы они исправили драйвер, то об этом они бы кричали на каждом углу и передали бы этот драйвер в FTDI. А то, что сделал немец Раш, FTDI почему-то в дело не пускает. Поэтому, если бы делал я, то я бы взял дешевый FTDI и имитировал бы им LPT, а там как раз и есть 2 параллельных порта, один как адрес при работе KGN в режиме ЕРР, а другой как данные. И никаких хлопот с загрузкой. Да и еще это помогло бы в производительности, ткв кабель №4 LPT порт грузит не битами а байтами.

Но! как я сказал, мне это не начем проверить....
Если что узнаете, напишите мне.
maksya
Цитата(Doka @ Aug 3 2006, 13:59) *
но вот сам FX2 дальше подключается к XC2C256-6VQ100C sad.gif
и тут уже очередь спрошных предположений: ...
Выскажу еще одно - возможно КлевыйБегун2 выполняет роль контроллера конфигурации (configuration controller). Нечто подобное встречается и Альтеровских досках. Вот например -
Цитата
The designer must reconfigure the Stratix II device each time power is
applied to the Stratix II DSP development board. For designers who want
to power up the board and have a design immediately present in the
Stratix II device, the board has a nonvolatile configuration scheme. This
scheme consists of flash memory and a configuration controller (U10),
which is an Altera EPM7256 PLD.

EPM7256ETC144 тоже, кстати говоря, CPLD, 144 ноги.
vladz
Абсолютно точно могу сказать что в USB программаторе прошивка CPLD обнавляется. Когда купили новый шнурок от Xilinx и воткнули, Impact из ISE 7.1 ругнулся на старую версию CPLD, спросил разешения обновить и успешно ее перешил.
BSV
Действительно, есть такая фишка. Я хотел это тоже написать, но засомневался, поскольку точно не помнил что Impact обновлял - CPLD или Cypress. Значит, прошивка лежит или в теле драйвера или где-то еще. В любом случае ее оттуда при сильном желании можно отковырять.
khach
Цитата(BSV @ Aug 7 2006, 02:15) *
Действительно, есть такая фишка. Я хотел это тоже написать, но засомневался, поскольку точно не помнил что Impact обновлял - CPLD или Cypress. Значит, прошивка лежит или в теле драйвера или где-то еще. В любом случае ее оттуда при сильном желании можно отковырять.

Прошивка CPLD точно есть внутри драйверов, а Сypress вообще прогружается при каждом подключении девайса. На этом и сыграли "альтернативщики"- подсунули собственную версию фирмвари для Сypress в ответ на xilinxовский вид-пид, а CPLD перешили своей прошивкой- ретранслятором сигналов, т.к последующее втыкание под стандартные xilinxовские драйвера восстановит ее содержимое.
Кто-нибудь пытался отдизасмить драйвера от 8 версии? там есть ( кроме родных ЛПТ и ЮСБ шнурков) еще поддержка шнурка от какого-то производителя отладочных средств АРМ. Наверно в расчете на отладку софткоров. Причем анонсов этого дела найти неудалось.
Doka
Цитата(khach @ Aug 7 2006, 18:11) *
... На этом и сыграли "альтернативщики"- подсунули собственную версию фирмвари для Сypress в ответ на xilinxовский вид-пид, а CPLD перешили своей прошивкой- ретранслятором сигналов, т.к последующее втыкание под стандартные xilinxовские драйвера восстановит ее содержимое.


если всё так , как вы написали, то нафига заморачиваться вообще?
даже прошивку не надо ниоткуда "вытягивать":
паяем девайс на FX2 & Coolrunner-II, прописываем во флеш нужный VID&PID - и дело в шляпе.. сам ISE программирует CPLD нашего доморощенного дивайса =)
-Al-
Цитата(Doka @ Aug 7 2006, 18:19) *
если всё так , как вы написали, то нафига заморачиваться вообще?
даже прошивку не надо ниоткуда "вытягивать":
паяем девайс на FX2 & Coolrunner-II, прописываем во флеш нужный VID&PID - и дело в шляпе.. сам ISE программирует CPLD нашего доморощенного дивайса =)

В данном конкретном случае так и следует поступить, поскольку аппаратная реализация у Xilinx получается открытой, вот только протокол работы с ним они выдавать не хотят......
Dainis
А схему кто то срысовал ?
Doka
Цитата(Dainis @ Aug 8 2006, 00:04) *
А схему кто то срысовал ?


полностью - лениво(
там восьмислойка(
могу тока по житагу кулранера:
Код
CPLD   <-->   FX2
TCK           PE3
TMS           PE4
TDO           PE5
TDI           PE6



это же хозяйство выведено на J8 (опять же с внутреннего слоя):
Код
CPLD   <-->   J8
TMS           1
TDI           2
TDO           3
TCK           4


ЗЫ: фигово то, что как минимум 100-ногая FX2 нужна полюбому :(
Flood
Ну как, похоже тема никому не интересна ?
Вот, подливаю масла в огонь: попался в руки DLC9 кабель, сделаны фото и составлен список микросхем. Однако, их разнообразие и количество ставят под сомнение реальность (точнее разумность) самостоятельного изготовления такого шнурка:
Код
Xilinx Platform Cable USB DLC9LP
IC List (reversed by top marks):

U1  - DS2411 Silicon Serial Number
U2  - LT1719S6 3V/5V Comparator
U3  - MAX6412UK26-T uP Reset Circuit
U4  - CY7C68013A-100AXC uP with USB
U5  - XC2C256-VQ100CMS Xilinx CPLD
U6  - LMV393MM Dual Comparator
U7  - NC7SZ125 3-State Single UHS Buffer
U8  - NC7SZ125 3-State Single UHS Buffer
U9  - 24LC00 128-Bit I2C Serial EEPROM
U10 - NC7SZ125 3-State Single UHS Buffer
U11 - NC7SZ125 3-State Single UHS Buffer
U12 - NC7SZ66 UHS SPST bus switch
U13 - LT1521CST-3.3 300mA 3.3V LDO Regulator
U14 - LT3023EDD Dual Adjustable LDO Regulator


Если нужно, могу куда-нибудь выложить hi-res фотографии платы.
АДИКМ
попробуйте отпаять DS2411 . интересно что ISE скажет
makc
Да чтобы не сказала - все-равно эта схема довольно сложна и дорога для повторения отдельным пользователем. Какой практический смысл заниматься ее копанием?
klop
Цитата(makc @ Oct 10 2006, 18:37) *
Да чтобы не сказала - все-равно эта схема довольно сложна и дорога для повторения отдельным пользователем. Какой практический смысл заниматься ее копанием?


В каталоге от Терры этот девайс стоит 210 зеленых. Цена комплектующих будет явно меньше. В любом случае время кабелей за 3 бакса проходит - LPT просто исчезают.
makc
Цитата(klop @ Oct 10 2006, 18:58) *
В каталоге от Терры этот девайс стоит 210 зеленых. Цена комплектующих будет явно меньше. В любом случае время кабелей за 3 бакса проходит - LPT просто исчезают.


Да, я прекрасно знаю, сколько он стоит. А еще я знаю, что кроме комплектухи есть еще проблемы с платой, запайкой и наладкой этого хозяйства. Лично мне вообще не нравится сама задача наладки устройства отладки (за исключением элементарных случаев, к которым рассматриваемый в этой теме не относится). Но это мое ИМХО.
Doka
Цитата(klop @ Oct 10 2006, 18:58) *
В каталоге от Терры этот девайс стоит 210 зеленых. Цена комплектующих будет явно меньше. В любом случае время кабелей за 3 бакса проходит - LPT просто исчезают.


ну а КИТ на S3E 500K - 150уе + ндс. и тут уже врядли розничной ценой комплектующих и стоимостью штучного изготовления МПП перебьешь. :-/



Цитата(АДИКМ @ Oct 10 2006, 18:11) *
попробуйте отпаять DS2411 . интересно что ISE скажет
на некоторых КИТах его нету - и он вообще в цепочке USB-to-JTAG не учавствует :-/
(правда там стоит DS2432)


Цитата(Flood @ Oct 8 2006, 17:02) *
Ну как, похоже тема никому не интересна ?
Вот, подливаю масла в огонь: попался в руки DLC9 кабель, сделаны фото и составлен список микросхем. Однако, их разнообразие и количество ставят под сомнение реальность (точнее разумность) самостоятельного изготовления такого шнурка...

если бы там стояла 56ногая FX2 - одно дело, а то они всюду CY7C68013A-100AXC пихают, притом заюзаны линии, которых нет в 56ногом варианте sad.gif
А у меня в разработках тока 56ногая стоит
А КИТ корёжить не хочется(( ..я его _сликом_ долго ждал.. smile.gif

Да и опять же все сведется к реверс-инжинирингу прошивок: XC2C256 стоит для защиты интеллектуальной собственности и в копии нафиг не нужна (тока стоимость баксов 20 поднимается (для штучного производства)) - всё _бы_ можно было _бы_ засунуть в FX2 - но тока стошком много _бы_ : реально нужны временные ресурсы чтобы сидеть и этим всем заниматься sad.gif
khach
Цитата(Flood @ Oct 8 2006, 15:02) *
Ну как, похоже тема никому не интересна ?

Если нужно, могу куда-нибудь выложить hi-res фотографии платы.

Интересна конечно, только кролика подопытного небыло под рукой. Фотки обеих сторон нужны конечно. Еще надо считать содержимое сериальной епромины около USB. (можно родными тулзами от супреса). Ну и схемку надо рисовать потихоньку- какие ноги с какими соеденены.
Кстати, а как можно из того пдф с герберами сами гербера вытащить? Чтобы скормить их КАМу и получить нетлист?
Doka
Цитата(khach @ Oct 10 2006, 20:22) *
Интересна конечно, только кролика подопытного небыло под рукой. Фотки обеих сторон нужны конечно. Еще надо считать содержимое сериальной епромины около USB. (можно родными тулзами от супреса). Ну и схемку надо рисовать потихоньку- какие ноги с какими соеденены.
там ничего интересного нету - кроме VID&PID - можно даже не читать 24хх, а каким-нить USB-monitor'ом, глянуть кто с какими VID&PID на шине висит

Цитата(khach @ Oct 10 2006, 20:22) *
Кстати, а как можно из того пдф с герберами сами гербера вытащить? Чтобы скормить их КАМу и получить нетлист?
сразу вспоминается сказка про Емелю и щуку))
нет такой возможности :(
но могу свои наработки выложить : слои гербера из пдфа, наложенные в полупрозрачном режиме в фотошопе - по ним всеже проще схемку срисовать. Самому этим заниматься сейчас времени нет.
если кому интересно- то выложу при условии опубликования на форуме схемы, полученной с помощью файла
Flood
Цитата(Doka @ Oct 10 2006, 20:12) *
Да и опять же все сведется к реверс-инжинирингу прошивок: XC2C256 стоит для защиты интеллектуальной собственности и в копии нафиг не нужна (тока стоимость баксов 20 поднимается (для штучного производства))


Радует то, что прошивка CPLD может обновляться, в том числе и в девайсе с нарушенной прошивкой. То есть имеется шанс что при подключении устройства с чистой CPLD она просто будет прошита.
Сомнения вызывают два момента - во-первых, зачем там DS2411, во вторых CPLD зачем-то приклеена к плате. Интересно, есть ли возможность обновлять конфигурацию в CPLD частично, не затрагивая некоторую часть, относящуюся к "итн. собственности" ? Или если конфигурация обновляется, то только целиком и со стиранием всего чипа?
khach
Цитата(Doka @ Oct 10 2006, 18:57) *
там ничего интересного нету - кроме VID&PID - можно даже не читать 24хх, а каким-нить USB-monitor'ом, глянуть кто с какими VID&PID на шине висит

Точно? Есть подозрение, что за пределами вид-пид там еще что-то связанное с сериальником в далласе сидит. Может все-таки считать?
Цитата
сразу вспоминается сказка про Емелю и щуку))
нет такой возможности sad.gif
но могу свои наработки выложить : слои гербера из пдфа, наложенные в полупрозрачном режиме в фотошопе - по ним всеже проще схемку срисовать. Самому этим заниматься сейчас времени нет.
если кому интересно- то выложу при условии опубликования на форуме схемы, полученной с помощью файла

Хорошо бы. Условие принимается. А по поводу щуки- есть програмки Pdf2Cad. Она прекрасно вытягивает гербера (в DXF) из векторных пдфов. Например эвалюшнборды от Аналоговых девиц свободно вычитываются, только рисунок в пдф должен быть векторный. Но в нашем случае жестокий облом- рисунки уже растровые. Их пришлось скормить програмке Scan2Cad. Тягомотины больше, но тоже неплохо получается. Програмки спрашивать у осла, или, если надо, залью на фтп.
По поводу восстановления прошивки плисы цитата
Цитата
18. What happens if I prematurely terminate a Platform Cable USB CPLD update operation?
If a CPLD re-programming operation is interrupted (i.e., by unplugging the cable or terminating the iMPACT session), the CPLD should be detected as an erased device during a subsequent "Cable Setup" operation. Consequently, the update operation should be repeated and the CPLD should be successfully programmed.

NOTE: In the event that iMPACT no longer attempts to reprogram the CPLD after a premature termination of the operation, a XILINX environment variable can be used to force the reprogramming operation. This method should only be used in exceptional cases.

Shell to a DOS window and set the following environment variable to true:
SET XIL_IMPACT_ENV_USB2_FORCE_CPLD_UPDATE=TRUE

Invoke iMPACT from the DOS command level.

Select "Platform Cable USB" from the Cable Setup menu and wait for the update to be completed.

Exit iMPACT.

Set the environment variable to false:
SET XIL_IMPACT_ENV_USB2_FORCE_CPLD_UPDATE=FALSE
khach
Цитата(Doka @ Oct 10 2006, 18:12) *
XC2C256 стоит для защиты интеллектуальной собственности и в копии нафиг не нужна (тока стоимость баксов 20 поднимается (для штучного производства)) - всё _бы_ можно было _бы_ засунуть в FX2 - но тока стошком много _бы_ : реально нужны временные ресурсы чтобы сидеть и этим всем заниматься sad.gif

Да нет, там честный сериалайзер jtaga, может даже с фифо.
Вот для желающих кам файл этой платы. Можно поизвращаться с реверсингом.
А если еще и пады добавить, то нетлист сделать легко. Слои питания я опустил- они не векторизовались, а с силком лоханулся- он зеркальный вышел. Кто знает, как в КАМ350 отзеркалить проект?
acex2
Цитата(khach @ Oct 13 2006, 19:11) *
... а с силком лоханулся- он зеркальный вышел. Кто знает, как в КАМ350 отзеркалить проект?


Edit->Mirror, если зеркальность по горизонтали.
Если по вертикали, то еще и Edit->Rotate.
antti
Цитата(khach @ Oct 13 2006, 19:11) *
Цитата(Doka @ Oct 10 2006, 18:12) *

XC2C256 стоит для защиты интеллектуальной собственности и в копии нафиг не нужна (тока стоимость баксов 20 поднимается (для штучного производства)) - всё _бы_ можно было _бы_ засунуть в FX2 - но тока стошком много _бы_ : реально нужны временные ресурсы чтобы сидеть и этим всем заниматься sad.gif

Да нет, там честный сериалайзер jtaga, может даже с фифо.
Вот для желающих кам файл этой платы. Можно поизвращаться с реверсингом.
А если еще и пады добавить, то нетлист сделать легко. Слои питания я опустил- они не векторизовались, а с силком лоханулся- он зеркальный вышел. Кто знает, как в КАМ350 отзеркалить проект?


ja programku pisal kotoroi ot coolrunner xpl3 jedec VHDL delajet
esli nemnozko dorabotat to dlja coolrunner2 tozhe budet rabotat
kto zanimatsja hochet mogu istochniki dat

PLD v usb cable (i v parallel IV tozhe) ne saschivon, mozhno
abrotno chitat bez problem
Doka
Цитата(khach @ Oct 12 2006, 16:05) *
Цитата(Doka @ Oct 10 2006, 18:57) *
там ничего интересного нету - кроме VID&PID - можно даже не читать 24хх, а каким-нить USB-monitor'ом, глянуть кто с какими VID&PID на шине висит
Точно? Есть подозрение, что за пределами вид-пид там еще что-то связанное с сериальником в далласе сидит. Может все-таки считать?
ок

Цитата(khach @ Oct 12 2006, 16:05) *
Цитата
сразу вспоминается сказка про Емелю и щуку))
нет такой возможности :(
но могу свои наработки выложить : слои гербера из пдфа, наложенные в полупрозрачном режиме в фотошопе - по ним всеже проще схемку срисовать. Самому этим заниматься сейчас времени нет.
если кому интересно- то выложу при условии опубликования на форуме схемы, полученной с помощью файла
Хорошо бы. Условие принимается. А по поводу щуки- есть програмки Pdf2Cad. Она прекрасно вытягивает гербера (в DXF) из векторных пдфов. Например эвалюшнборды от Аналоговых девиц свободно вычитываются, только рисунок в пдф должен быть векторный. Но в нашем случае жестокий облом- рисунки уже растровые. Их пришлось скормить програмке Scan2Cad. Тягомотины больше, но тоже неплохо получается.
вот ФШ-файл. там и сигнальные и питающие планы, но все надо ручками вытаскивать((
(+ худо-бедное знание ФШ - чтобы слои свести - а то я подилетантски прозрачность слоев настроил - уж как мог..)
Нажмите для просмотра прикрепленного файла
насчет PDF - думал сначала, что Вы не адобовский PDF имели в виду, а нативный - КАДовский.
Но тем не менее, осталось у меня сомнение - там же никакой спец.инф. в PDF нету - как прога "вытягивает" инфу о падах, чтобы восстановить нетлист?!
Doka
Цитата(antti @ Oct 13 2006, 20:45) *
Цитата(khach @ Oct 13 2006, 19:11) *
Да нет, там честный сериалайзер jtaga, может даже с фифо.
ja programku pisal kotoroi ot coolrunner xpl3 jedec VHDL delajet
esli nemnozko dorabotat to dlja coolrunner2 tozhe budet rabotat
kto zanimatsja hochet mogu istochniki dat

а это весьма интересно - пусть там честный parallel-to-serial, но для mass-product можно запихнуть это добро в FX2 (либо поставить туда CPLD попроще, а в FX2 перенести crypto), + это позволило бы перейти к более распространенным и доступным FX2 в 56ногом корпусе
АДИКМ
to Flood

можете найти полчаса и зарисовать схему выходной части из CPLD ?
компараторы и т.д.
все что идет от сплд до разъема?
Flood
Цитата(АДИКМ @ Oct 14 2006, 20:23) *
to Flood

можете найти полчаса и зарисовать схему выходной части из CPLD ?
компараторы и т.д.
все что идет от сплд до разъема?


Боюсь, не в ближайшее время - устройства на руках уже нет. Да и схема там суровая. Однако постараюсь. Могу выложить hi-res фото обеих сторон платы, но с установленными компонентами для срисовки платы они мало пригодны...
По ощущениям там 4 слоя всего, разводка сигналов на внешних слоях, а внутри только power planes, хотя могу и ошибаться.
khach
Вот набросок схемы и оркадовский проект с ней. Проверьте на истинность, а то рисовалось после тяпницы.
АДИКМ
to Flood

выкладывайте на сахару фотографии (или еще куда).
вроде разводка наоборот во внутренних слоях.

я тоже к сожалению не могу взять сейчас данный девайс, занят он...
Flood


Doka
2 АДИКМ

судя по дизайну платы Spartan-3E Starter Kit HW-SPAR3E-SK-US (а там действительно всё сигнальное хозяйство на внутренних слоях), на этой DLC9 сделано так же.

ЗЫ: а по поводу каличности того либо иного сервиса: прикреплял и тут файлы по 6Мб (и HiRes в т.ч.) - трудностей не возникало.
khach
Цитата(АДИКМ @ Oct 14 2006, 18:23) *
можете найти полчаса и зарисовать схему выходной части из CPLD ?
компараторы и т.д.
все что идет от сплд до разъема?

Так там все и так очевидно, из даташита на кабель.
АДИКМ
to knach
Вот видишь, а я не додумался открыть мануал на кабель... sad.gif((
Ну так что, дорисовывай полностью схему и и попробуем.

кстати, я не могу вообще никакой файл прикрепить.
показывает, что загружает, а на самом деле ноль. Руки видать кривые.
iosifk
Цитата(Doka @ Aug 3 2006, 13:59) *
Собственно тема:

восстановить схему - вопрос свободного времени и усидчивости
а вот как решать вопрос с изготовлением.


Была выставка Чип Экспо. Я ходил по выставке и спрашивал производителей: захочет ли кто нибудь выпускать дешевые USB-JTAG. Такие, чтобы "брали" FPGA и в том числе их загрузку, граничное сканирование и к которым можно было бы обращаться из самодельной программы. А в идеале, чтобы работали с фирменными программными инструментами.
Несколько таких нашлось.
Итак, может кто московский (тк фирмы - московские) это дело дальше тащить? Т.е. передать материалы на изготовление (про долю сами с ними договоритесь...), потом отладить первый образец, ну и когда пойдет серия, то отвечать клиентам на трудные вопросы.
Хочется получить железку в продаже от 30 до ??? долл. Так чтобы ее каждый смог купить, пусть даже россыпью в виде набора или полностью смонтированную.
khach
Кстати, по поводу прошивки XC2C64-100. В дллке libImpactCommunications.dll, отвечающей за коммуникацию с жтагом упоминается файл xusbcpld.fmwr, который лежит в C:\Xilinx\data. Рядом с ним находятся два файла такой же самой длины xusb_xlp.fmwr и xusb_xup.fmwr. Обращение к xusbcpld.fmwr напрямую связанно с апгрейдом прошивки. Это наверняка файл битстрима. Кто бы посмотрел и сказал, чем его можно залить в чистую XC2C64? Т.е какое у него должно быть стандартное расширение, чтобы его поняли хилинховские тулзы?
Doka
Цитата(khach @ Oct 12 2006, 16:05) *
Цитата(Doka @ Oct 10 2006, 18:57) *
там ничего интересного нету - кроме VID&PID - можно даже не читать 24хх, а каким-нить USB-monitor'ом, глянуть кто с какими VID&PID на шине висит
Точно? Есть подозрение, что за пределами вид-пид там еще что-то связанное с сериальником в далласе сидит. Может все-таки считать?

не удается считать 24хх кипарисными тузлами((.. даже на машине, где не стоит ISE c его дровами для шнурка.. чтобы сделать, я так полагаю нужен драйвер с исправленным VID (т.е. драйвера от cypress не подошли)
вот что насчет VID&PID и прочего пишет USBVIEW.EXE (Microsoft)
Код
Device Descriptor:
bcdUSB:             0x0200
bDeviceClass:         0x00
bDeviceSubClass:      0x00
bDeviceProtocol:      0x00
bMaxPacketSize0:      0x40 (64)
idVendor:           0x03FD (Xilinx Inc.)
idProduct:          0x0008
bcdDevice:          0x0000
iManufacturer:        0x01
iProduct:             0x02
iSerialNumber:        0x00
bNumConfigurations:   0x01

ConnectionStatus: DeviceConnected
Current Config Value: 0x02
Device Bus Speed:     Full
Device Address:       0x02
Open Pipes:              2

Endpoint Descriptor:
bEndpointAddress:     0x02
Transfer Type:        Bulk
wMaxPacketSize:     0x0200 (512)
bInterval:            0x00

Endpoint Descriptor:
bEndpointAddress:     0x00
Transfer Type:     Control
wMaxPacketSize:     0x0507 (1287)
wInterval:          0x0286
bSyncAddress:         0x00
khach
Цитата(Doka @ Oct 16 2006, 11:25) *
не удается считать 24хх кипарисными тузлами((.. даже на машине, где не стоит ISE c его дровами для шнурка.. чтобы сделать, я так полагаю нужен драйвер с исправленным VID (т.е. драйвера от cypress не подошли)
вот что насчет VID&PID и прочего пишет USBVIEW.EXE (Microsoft)

Это уже слишком поздно - энумерация прошла, ВИД-ПИД сменился на тот, что в фирмвари. Надо либо в ципресовский ini записать тот вид-пид, что кабель отдает до заливки фирмвари, или поставить перемычку, ту которую возле 24с04, грузануться под дефолтовым вид-пид цупреса, потом перемычку снять на-горячую и считать епромину.
Doka
to khach

туплю.. точно) выпаял R35, впаял джампер JP5 - сайпрессовская тузла схавала - но только что-то я там не вижу "считать EEPROM" - присутствует только "загрузить EEPROM" :(

ps: не понял насчет XC2C64, вроде и в Spartan-3E Starter Kit и в DLC9 используется XC2C256
khach
Цитата(Doka @ Oct 16 2006, 12:41) *
to khach
ps: не понял насчет XC2C64, вроде и в Spartan-3E Starter Kit и в DLC9 используется XC2C256

Тоже туплю :-( аналогично. Конечно XC2C256. Схему переделывать ненадо, тк по ногам они точно такие же. Тогда и с xusbcpld.fmwr становится понятно- это обычный xsvf, по крайней мере impact его прожевал
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.