Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: SPI и ATMega .. FTDI
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
onizuka
может у кого нибудь есть приемо-передатчик для ftdi fifo (245R например).
onizuka
неоходимо соеденить ПЛИС и атмегу. коннект по spi.
вот такая реализация spi подойдет?

Код
/*

SPI_master.v - Verilog source for SPI module

Features:
- 25 MHz max operating frequency (on Xport 2.0)
- 8-bit Clock divider to obtain slower speeds
- Synthesizable and tested on Xport 2.0

Limitations:
- Only supports master mode
- Only supports MSB first data read/write
- Only supports sampling on rising edge and setup on falling edge
- Only 8-bit data transfers
- no IRQ support but has a busy bit that can be polled to assure module is not busy
- !SS pin has to be controlled by GPIO

Copyright © 2007  Steven Yu

This program is free software: you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
the Free Software Foundation, either version 3 of the License, or
(at your option) any later version.

This program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
GNU General Public License for more details.

You should have received a copy of the GNU General Public License
along with this program.  If not, see <http://www.gnu.org/licenses/>.

*/


module spi_master(addr, in_data, out_data, rd, wr, cs, clk, miso, mosi, sclk);
input wire [1:0] addr;
input wire [7:0] in_data;
output reg [7:0] out_data;
input wire rd;
input wire wr;
input wire cs;
input wire clk;
inout miso;
inout mosi;
inout sclk;

reg sclk_buffer = 0;
reg mosi_buffer = 0;
reg busy = 0;

reg [7:0] in_buffer = 0;
reg [7:0] out_buffer = 0;
reg [7:0] clkcount = 0;
reg [7:0] clkdiv = 0;
reg [4:0] count = 0;

always@(cs or rd or addr or out_buffer or busy or clkdiv)
begin
    out_data = 8'bx;
    if(cs && rd)
    begin
        case(addr)
        2'b00:   begin out_data = out_buffer; end
        2'b01:   begin out_data = {7'b0, busy}; end
        2'b10:   begin out_data = clkdiv; end
        endcase
    end
end

always@(posedge clk)
begin
    if(!busy)
    begin
        if(cs && wr)
        begin
            case(addr)
            2'b00: begin in_buffer = in_data; busy = 1'b1; end
            2'b10: begin clkdiv = in_data; end
            endcase
        end
    end
    else
    begin
        clkcount = clkcount + 1;

        if(clkcount >= clkdiv)
        begin
            clkcount = 0;

            if((count % 2) == 0)
            begin
                mosi_buffer = in_buffer[7];
                in_buffer = in_buffer << 1;
            end

            if(count > 0 && count < 17)
            begin
                sclk_buffer = ~sclk_buffer;
            end

            count = count + 1;
      
            if(count > 17)
            begin
                count = 0;
                busy = 1'b0;
            end
        end
    end
end

always@(posedge sclk_buffer)
begin
    out_buffer = out_buffer << 1;
    out_buffer[0] = miso;
end

assign sclk = sclk_buffer;
assign mosi = mosi_buffer;

endmodule
zltigo
Цитата(onizuka @ Mar 24 2008, 23:06) *
вот такая реализация подойдет?

Moderator:
И все с увлечением бросились читать слепой не фоматированный текст. По ходу дела устраивая телепатические сеансы....
Старая истина - вопрос должен содержать не менее половины ответа.
onizuka
прошу прощения за неправильный формат текста
AlexKLm
Висит, платка с 245-ой, уже с годик. А что такое 'verilog'? Просьба - не выражаться.
onizuka
Цитата(AlexKLm @ Mar 25 2008, 00:02) *
Висит, платка с 245-ой, уже с годик. А что такое 'verilog'? Просьба - не выражаться.


я имею в виду модуль для ПЛИСа для общения с 245R написанный на verilog'е. verilog - это язык описания аппаратуры, используемый для описания и моделирования электронных систем
zltigo
Цитата(onizuka @ Mar 24 2008, 23:03) *
может..

Если у Вы не можете описать что-то типа банального параллельного регистра, то что будете делать дальше?
onizuka
Цитата(zltigo @ Mar 25 2008, 00:41) *
Если у Вы не можете описать что-то типа банального параллельного регистра, то что будете делать дальше?


банальный параллельный не проблема. проблема с тем чтобы сделать slave модуль для wishbone например.
des00
Цитата(onizuka @ Mar 25 2008, 14:34) *
банальный параллельный не проблема. проблема с тем чтобы сделать slave модуль для wishbone например.


Цитата
Are you using Wishbone, do you need some simple 'slaves' to test your bus with ?


http://www.opencores.org/projects.cgi/web/...t_port/overview
onizuka
Цитата(des00 @ Mar 26 2008, 06:57) *


спасиба. немного помогло
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.