Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: DDRIII+HPCII глюки или руки?
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Работаем с ПЛИС, области применения, выбор
billidean
Всех с праздником, мужики.
Уже неделю сижу с проблемой::
есть код (примерный):
Код
...
...
    write_data_in    : in std_logic_vector(63 downto 0);
...
    read_data_out    : out std_logic_vector(63 downto 0);
    rdata_valid_out    : out std_logic := '0';
...
...

    local_size <= "010";

process( phy_clk )
    variable var_local_address : std_logic_vector(23 downto 0) := ( others => '0' );
    variable counter_write : integer := 0;
    variable counter_read_req  : integer := 0;
    variable counter_read_data : integer := 0;
begin

    case( step ) is
    ---
    when step_pre            =>
                    if( start_write == '1' ) then
                        counter_write := 100;
                        step := step_write_first;
                    end if;
                    if( start_read == '1' ) then
                        counter_read_req  := 100;
                        counter_read_data := 100;
                        step := step_read;
                    end if;
                    local_burstbegin    <= '0';
                    local_write_req    <= '0';
                    local_read_req    <= '0';
                    local_address    <= ( others => '0' ); --начинаю с 0-го адреса
                    var_local_address    <= ( others => '0' ); --начинаю с 0-го адреса
    ---
    when step_write_first    =>
                    if( counter_write = 0 ) then
                        local_address     <= ( others => '0' );
                        local_write_req   <= '0';
                        local_burstbegin  <= '0';
                        step := step_pre;
                    else
                        if( local_ready = '1' ) then
                            local_address     <= var_local_address;
                            local_write_req   <= '1';
                            local_burstbegin  <= '1';
                            local_write_data(63 downto 0)  <= write_data_in(63 downto 0);
                            step := step_write_second;
                        end if;
                    end if;
    ---
    when step_write_second    =>
                    local_burstbegin  <= '0';
                    counter_write       := counter_write - 1;
                    var_local_address <= (инкремент var_local_address)
                    step := step_write_first;
    ---
    when step_read            =>
                    if( counter_read_req = 0 ) then
                        local_address      <= ( others => '0' );
                        local_read_req    <= '0';
                        local_burstbegin  <= '0';
                        step := step_pre;
                    else
                        if( local_ready = '1' ) then
                            local_address     <= var_local_address;
                            local_read_req    <= '1';
                            local_burstbegin  <= '1';
                            var_local_address <= (инкремент var_local_address)
                            counter_read_req := counter_read_req - 1;
                        end if;
                    end if;
                    if( counter_read_data = 0 ) then
                        rdata_valid_out <= '0';
                        step := step_pre;
                    else
                        if( local_rdata_valid = '1' ) then
                            rdata_valid_out <= '1';
                            read_data_out(63 downto 0) <= local_rdata(63 downto 0);
                            counter_read_data := counter_read_data - 1;
                        else
                            rdata_valid_out <= '0';
                        end if;
                    end if;
    end case;

end process;

На железе (Stratix IV) получаю данные read_data_out правильные процентов на 99, а 1% битые.
Почему так???

Не совсем вник в то, когда менять адрес относительно сигнала local_burstbegin, по фронту или по спаду бурста.
billidean
Подскажите плз, правильно ли я представляю себе циклограмму при local_size=2:
Код
phy_clk          :  _I`I__I`I__I`I__I`I__I`I__I`I__I`I__I`I__I`I__I`I__I`I__I`I__

local_burstbegin :  _I````I____I````I____I````I____I````I____I````I____I````I____

local_address    :  _<:::::::::><:::::::::><:::::::::><:::::::::><:::::::::>_____

local_write_data :  _<:::::::::><:::::::::><:::::::::><:::::::::><:::::::::>_____

Извините за плохую читабельность, торопился rolleyes.gif
billidean
Спасибо ОГРОМНОЕ замечательному форуму за такую плотную поддержку.

Но я уже сам все сделал.

З.Ы. А могли бы подсказать.

З.З.Ы. Вот так все здесь и делают: "Я то знаю ответ tongue.gif но говорить не буду. Пусть сами пытаются"
dinam
Сочувствую, сам не могу понять в чем проблема в моём случае (см. соседний топик).
dvladim
Цитата(billidean @ Feb 24 2011, 17:31) *
Спасибо ОГРОМНОЕ замечательному форуму за такую плотную поддержку.

Ну а объяснить в чем была проблема и в чем состояло решение?
billidean
В документации на HPCII говорится, что использовать сигнал precharge необходимо при переключении банков памяти,
И я этому поверил blush.gif
Моя система работает с адресами в пределах одного банка. Запись данных производится пакетаами по 100 слов. Сейчас я использую local_size=4(выше в коде было 2).
Я как честный человек провожу строб сигнала precharge каждый раз перед началом передачи пакета(100).
Опытным путем я выяснил (может это только у меня wacko.gif ), что при таком раскладе в память пишутся какие-то битые данный процентов на 5.
Я долго тыкал клаву на всякие кнопки и натыкал выход из моей ситуации.
При каждой установке бурста(local_burstbegin) я загонял строб сигнала precharge, и о чудо w00t.gif данные перестали биться.

З.Ы.: вот такая моя история борьбы начинающего ПЛИС-схемотехника с HPCII
warrior-2001
При генерации контроллера отключите precharge. Данная опция подробно описана в мануале.
billidean
Цитата(warrior-2001 @ Mar 2 2011, 18:35) *
При генерации контроллера отключите precharge. Данная опция подробно описана в мануале.

Сегодня пробовал отключить эту галочку при насчтройке контроллера DDR3. В результате данные записываются битые на 50 процентов.
Вернул обратно - все ок.
warrior-2001
Цитата(billidean @ Mar 3 2011, 16:34) *
Сегодня пробовал отключить эту галочку при насчтройке контроллера DDR3. В результате данные записываются битые на 50 процентов.
Вернул обратно - все ок.

Думаю, что после отключения "галочки" поменялась времянка. Вообще основные мучения с ddr/ddr2 у меня были связаны с шиной Avalon. Как только выяснилось это - http://www.alteraforum.com/forum/showthrea....oss=burstbegin , и ещё ряд глюков был устранён Альтерой - всё проблемы ушли.
Физический интерфейс не может так глючить - обычно проблемы либо в таймингах либо в локальной шине. При наличии свободного места в ПЛИС контроллеры памяти обычно без проблем запускаются на максимальных скоростях.
billidean
Цитата(warrior-2001 @ Mar 4 2011, 16:36) *
... и ещё ряд глюков был устранён Альтерой - всё проблемы ушли.

А можете уточнить, какие именно глюки и кто именно устранял???
billidean
при local_size=4 и манипулированием сигналами precharge и refresh у меня вроде получилось сделать ОЗУ из DDR3.
Но попытки сделать все то же самое с local_size=64 ничего не получается, данные портиться начинают.

Вообще кто-нибудь использовал local_size=64? если да, то ПЛЗ подскажите что-нить help.gif
novartis
У меня коллега на работе тоже с такой проблемой мучается, если справится, отпишусь.
warrior-2001
Цитата(billidean @ Mar 18 2011, 17:46) *
А можете уточнить, какие именно глюки и кто именно устранял???

Конкретные глюки описаны в err data на ddr/dd2/dd3 контроллеры. Устраняет разработчик - Altera.
Проверил свои проекты - local_size меняется от 1 до 32. 64 пока не использовал.
Если при отключении чего-то появляются ошибки - я бы копал в эту сторону. Повторюсь, при генерации оставляю precharge на откуп Altera.
nmurzin
Объясните пожалуйста,
а зачем вобще задирать local_size более чем 2 ?
Ведь burst_begin можно дергать непрерывно.
warrior-2001
Цитата(nmurzin @ Mar 22 2011, 18:46) *
...
а зачем вобще задирать local_size более чем 2 ?
...

Если, к примеру, в проекте используется большая локальная шина, со своим интерконнектом и прочими вкусностями.
Мне нужно в рамках спецификации этой шины подключить к ней контроллер ddr/ddr2/ddr3 фирмы Altera. Берётся Avalon и делается переходник между ним и этой локальной шиной, с поддержкой пачек, с handshake и т.д.
И вот чтобы этот переходник не весил много - проще пачки передавать напрямую. Раз контроллер поддерживает - отчего не пользоваться-то?
nmurzin
В DataSheet на HPC2 на странице 12 есть таблица

Table 1–3. DDR and DDR2 SDRAM HPC and HPC II Features


Внизу таблицы есть примечания:

(2) HPC II only supports memory burst length of 4 in full-rate mode.
(3) HPC II only supports memory burst length of 8 in half-rate mode.


Это означает, что при full-rate mode максимально допустимое значение local_size = 2.
То есть будут переданы два двойных слова что составит burst length = 4
billidean
Цитата(warrior-2001 @ Mar 21 2011, 16:53) *
Конкретные глюки описаны в err data на ddr/dd2/dd3 контроллеры. Устраняет разработчик - Altera.
Проверил свои проекты - local_size меняется от 1 до 32. 64 пока не использовал.
Если при отключении чего-то появляются ошибки - я бы копал в эту сторону. Повторюсь, при генерации оставляю precharge на откуп Altera.

Спасибо за дельный совет. Перерыл имеющиеся errata на сайте альтеры и из полезного нарыл:
1. увеличить параметр tRP
2. Вместо настроек CHIP-ROW-BANK-COL использовать CHIP-BANK-ROW-COL (это у меня было с самого начала проекта)
3. Пересобрать проект в Q 10.0

из этого всего я сделал п.1, и это помогло - я отключил опции манипулирования вручную сигналами precharge и refresh, и данные перестали биться.

но вот с п.3 проблема, моя контора итак потратилась на Q 9.1.
А может можно только ядро контроллера заменить как-нить? rolleyes.gif
warrior-2001
Цитата(billidean @ Mar 23 2011, 16:57) *
...
но вот с п.3 проблема, моя контора итак потратилась на Q 9.1.
...

У меня ddr/ddr2 стабильно работает с версией Quartus 9.1 SP2.

Цитата(nmurzin @ Mar 23 2011, 12:28) *
В DataSheet на HPC2 на странице 12 есть таблица

Table 1–3. DDR and DDR2 SDRAM HPC and HPC II Features

Значения, указанные в этой таблице и параметр local_size, о котором я говорил выше, это не одно и то же.
External Memory Interface Handbook Chapter 7: Functional Description—High-Performance Controller II Table 7–1. Burst Length Support и
External Memory Interface Handbook Chapter 7: Functional Description—High-Performance Controller II Top-level Signals Description Table 7–6. Local Interface Signals (Part 2 of 4)
Вам в помощь.

local_size[]- Input - Controls the number of beats in the requested read or write access to
memory, encoded as a binary number. The range of supported Avalon burst
lengths is 1 to 64. The width of this signal is derived based on the burst count
specified in the Local Maximum Burst Count option. With the derived width,
choose a value ranging from 1 to the local maximum burst count specified.
Sergeyrtf
У меня с DDR2 тоже подобные проблемы - в тестовом примере от отладочной платы(циклон 4) использовался HPCI - данные писались/читались практически нормально(очень редко были глюки), поменял на HPCII - начался полный П... - при записи по адресу косячуться данные по соседним адресам. Сам не так давно начал работать с DDR2, посоветовать пока ничего не могу, но всем спасибо за информацию, может что поможет :-)
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.