Цитата
entity rs_422 is
port (
INPUT_RS422: in std_logic :='0';
CLK : in std_logic;
);
end rs_422;
architecture Behavioral of rs_422 is
signal shift_register: std_logic_vector (15 downto 0);
signal tmp: std_logic_vector (15 downto 0);
begin
shift_reg: process (CLK)
begin
if (rising_edge(CLK)) then
tmp <= tmp (14 downto 0) & INPUT_RS422;
end if;
end process;
shift_register <= tmp;
end Behavioral;
port (
INPUT_RS422: in std_logic :='0';
CLK : in std_logic;
);
end rs_422;
architecture Behavioral of rs_422 is
signal shift_register: std_logic_vector (15 downto 0);
signal tmp: std_logic_vector (15 downto 0);
begin
shift_reg: process (CLK)
begin
if (rising_edge(CLK)) then
tmp <= tmp (14 downto 0) & INPUT_RS422;
end if;
end process;
shift_register <= tmp;
end Behavioral;