Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: QUARTUS, MODELSIM
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Среды разработки - обсуждаем САПРы
Страницы: 1, 2, 3
naliwator
Пополнил содержание своей библиотеки на http://www.naliwator.narod.ru

Симуляция проекта с помощью инструментов EDA
Это мой перевод 1 главы 1 раздела 3 тома Quartus II Handbook.
Volume 3: Verification.
1. Simulating Designs with EDA Tools
После того, как фирма Altera прекратила поддержку собственного встроенного симулятора,
разработчики были вынуждены выбрать себе альтернативный симулятор:
ModelSim, QuestaSim, Active-HDL, Riviera-PRO, VCS, VCS MX или NCSim.
В этой главе рассказывается о настройках программы Quartus II в разделе симуляции,
о том, какие библиотеки симуляции потребуются для выполнения функциональной симуляции,
временной на уровне вентилей и симуляции списка соединений пост-синтез.
А также о настройках и использовании средства NativeLink, которое
позволяет автоматизировать процесс запуска симулятора в оболочке Quartus II.
naliwator
Пополнил содержание своей библиотеки на http://www.naliwator.narod.ru

Поддержка Mentor Graphics ModelSim/QuestaSim
Это мой перевод 2 главы 1 раздела 3 тома Quartus II Handbook.
Volume 3: Verification.
2. Mentor Graphics ModelSim/QuestaSim Support
В этой главе содержатся подробные инструкции, как симулировать ваш проект в программах ModelSim-Altera®,
Mentor Graphics® ModelSim и Mentor Graphics QuestaSim.
Рассматривается три способа симуляции: функциональная, пост-синтез и временная на уровне вентилей.
Подробно рассмотрены различные способы симуляции с чипами,
имеющими встроенные передатчики. Изложены инструкции по выполнению симуляции:
в графической оболочке, из командной строки и с помощью Tcl скриптов.
lexus.mephi
Сделал сайт по ModelSim - www.modelsim.ru, где объединил инфу, представленную в этой теме и еще кое-что дополнительно. Получилось симпотишнее, чем systemverilog.ru.
В основном сайт содержит полезные ссылки. Выкладывать инфу с других сайтов, думаю, смысла нет. Да и поисковики на такое плохо реагируют.
Пока не все разделы написал, т.ч. несколько ссылок не работает. Если кто-то располагает еще какой-нибудь информацией, то, пожалуйста, поделитесь.

P.S. ModelSim пользуется повышенным интересом у разработчиков (у студентов в особенности), поэтому сайт писал для того, чтобы привлечь дополнительных посетителей на systemverilog.ru. Ну и плюс ко всему мой хостинг предоставляет пространство для размещения 2-х сайтов, так почему бы не забить это пространство весьма небесполезным сайтом.

Регистрация на сайте и клики по рекламке всегда приветствуются rolleyes.gif
andrew_b
Цитата(lexus.mephi @ Nov 1 2010, 18:46) *
Сделал сайт по ModelSim - www.modelsim.ru
Гм. А Ментор не сочтёт вас киберсквоттером? Будете продавать домен -- просите побольше. smile.gif
lexus.mephi
Цитата(andrew_b @ Nov 2 2010, 08:55) *
Гм. А Ментор не сочтёт вас киберсквоттером? Будете продавать домен -- просите побольше. smile.gif

Да врядли. Вот если б я там рекламировал что-нить отдаленное от ModelSim - тогда да. Mentor и Megratec обидеться не должны - ссылки на их сайты представлены не один раз. Может они когда-нить оценят работу smile.gif
naliwator
Пополнил содержание библиотеки.

Tcl скриптирование
Это мой перевод 3 главы 1 раздела 2 тома Quartus II Handbook. Volume 2: Design Implementation and Optimization Chapter 3. Tcl Scripting
Скрипты представляют более детальный подход в организации и управлении проектом. Они позволяют значительно экономить время за счёт автоматизации некоторых процессов разработки. В этой главе описаны общие вопросы использования Tcl скриптов в проекте Quartus II, а также описаны основы языка TCL.
MNP
Добрый день.
Давно не работал с Альтерой, прошу просветить по Quartus (собираюсь применить Cyclone IV GX EP4CGX110 или EP4CGX150 )

1.Какой Quartus поставить? Годится ли 9.1 для этого девайса или надо 10.1? кажется в 10.1 нет уже симулятора , а в 9.1 есть?
2. В чем преимущество платной версии?
3. В чем преимущество 10.1

кажется вопрос в тему,
спасибо
naliwator
Цитата(MNP @ May 5 2011, 21:21) *
Добрый день.
Давно не работал с Альтерой, прошу просветить по Quartus (собираюсь применить Cyclone IV GX EP4CGX110 или EP4CGX150 )

1.Какой Quartus поставить? Годится ли 9.1 для этого девайса или надо 10.1? кажется в 10.1 нет уже симулятора , а в 9.1 есть?

Ответ здесь.
Доступна компиляция, симуляция и временной анализ, но не доступно генерирование программных файлов и информация о цоколёвке.
Цитата
2. В чем преимущество платной версии?

Ответ здесь.
В двух словах, ограничения по высокопроизводительным FPGA (Stratics) и HardCopy, ограничения в IP ядрах, ограничения в инкрементной компиляции, и средствах отладки.
Цитата
3. В чем преимущество 10.1

Ответ здесь.
Помимо полной поддержки необходимых вам чипов, улучшен движок компилятора.
Цитата
кажется вопрос в тему,
спасибо

Не совсем, конечно.
MNP
biggrin.gif все равно спасибо ! и с наступающим праздником!
Stewart Little
Полезный ресурс (если кто еще не знает) для осваивающих ModelSim :
http://www.altera.com/customertraining/web...ModelSim_cd.htm
ViKo
А здесь - по TimeQuest
http://www.altera.com/customertraining/web...ustomertraining

zvladm
Цитата(Stewart Little @ May 30 2011, 16:11) *
Полезный ресурс (если кто еще не знает) для осваивающих ModelSim :
http://www.altera.com/customertraining/web...ModelSim_cd.htm

а почему пишет нет страницы по ссылочке?
Копейкин
Stewart Little,
Ваша ссылка не работает, не могли бы Вы исправить её.
naliwator
Цитата(zvladm @ Jul 22 2011, 06:43) *
а почему пишет нет страницы по ссылочке?

Altera регулярно перетряхает содержимое своего сайта.
Попробуйте: http://www.altera.com/support/software/pro...d-modelsim.html
и http://www.altera.com/education/training/c...lsim%20training
juvf
Добавляю в сигналТаб-е новые сигналы. Выскакивает окошко
Цитата
---------------------------
Quartus II
---------------------------
Do you want to set the netlist type of the Top partition to Source File since you are adding pre-synthesis nodes? See the Messages window for more information.
---------------------------
&Yes &No
---------------------------


Не могу понять о чем оно говорит. Что будет если нажать No, и что будет если нажать Yes?
naliwator
Цитата(juvf @ Feb 14 2012, 12:07) *
Добавляю в сигналТаб-е новые сигналы. Выскакивает окошко

Не могу понять о чем оно говорит. Что будет если нажать No, и что будет если нажать Yes?


Моделсим интересуется, если вы добавляете сигналы типа "пре-синтез", то стоит ли ему изменять тип основного раздела (вашего проекта без сигналтап файла) на исходный файл со всеми вытекающими из этого последствиями?
naliwator
Пополнил содержание библиотеки.

Отладка цепей приёмопередатчика с помощью System Console
Это мой перевод 11 главы 3 раздела 3 тома Quartus II Handbook. Volume 3: Verification. 11. Transceiver Link Debugging Using the System Console
Средство Transceiver Toolkit появилось в 10 версии программы Quartus II. Оно предназначено для отладки и настройки цепей высокоскоростных приёмопередатчиков. Transceiver Toolkit позволяет проводить тестирование между платами, тестирование между чипами на одной отладочной плате, а также тестирование внутренней обратной связи в одном канале, без необходимости использования внешних обратных связей. Критерием тестирования является частота появления ошибочных битов (BER).
Maverick
Цитата(naliwator @ Mar 7 2012, 14:28) *

Спасибо за труд, периодически пользуюсь...
dortonyan
Привет всем. Пытаюсь запустить моделсим из квартуса.
Скачал последнюю 13-ю версию квартуса и моделсима с сайта альтеры. Написал простенький код на VHDL, скомпилировал, все гуд. Но при попытке запуска RTL анализа появляется окно с ошибкой о ненайденом исполнительном файле modelsim-altera:
Нажмите для просмотра прикрепленного файла

Проверил в опциях путь, все ок:
Нажмите для просмотра прикрепленного файла

Кто-нибудь узал 13-ый квартус с моделсимом? Не могу понять где косяк.
ddr3comp
А такая плюшка у Вас установлена?
Нажмите для просмотра прикрепленного файла
Это в настройках: Assignments->Settings...
RST
Цитата(dortonyan @ Feb 21 2014, 14:34) *
Привет всем. Пытаюсь запустить моделсим из квартуса.
Скачал последнюю 13-ю версию квартуса и моделсима с сайта альтеры. Написал простенький код на VHDL, скомпилировал, все гуд. Но при попытке запуска RTL анализа появляется окно с ошибкой о ненайденом исполнительном файле modelsim-altera:
Нажмите для просмотра прикрепленного файла

Проверил в опциях путь, все ок:
Нажмите для просмотра прикрепленного файла

Кто-нибудь узал 13-ый квартус с моделсимом? Не могу понять где косяк.


По моему решилось добавлением "\" после win32aloem
tems-ya
Парни, может кто поделиться любым (хоть счетчик) готовым проектом, в котором из 13 квартуса можно в моделсиме посмотреть поведение системы на уровне вентилей. Чтобы загрузил, запустил и уведел работающий результат. Нужна рыба разобраться как эту связку можно заставить работать. Уже месяц пытаюсь побороть данную систему - дальше поведенческого моделирования продвинуться не могу...
RamZoom
А существует какая-нибудь программа автоматического формирования тестбенча verilog и (или) vhdl кода???
iosifk
Цитата(RamZoom @ May 26 2014, 17:59) *
А существует какая-нибудь программа автоматического формирования тестбенча verilog и (или) vhdl кода???

Такого в принципе быть не может. Что-то можно сделать автоматически, но не все...
К примеру, откуда "микроконтроллер" может знать, с какой программой он будет работать?
Вот так же и Вериложный файл не может "знать" как и в каком порядке к нему будут приложены входные воздействия...

Смотрите на veriloghdl.org есть программа МЕС2012 они вроде может слепить тестбенч...

А какие проблемы есть с тесбенчем?
RamZoom
Да вот работал в квартусе со стандартным симулятором, а сейчас нужно перейти на моделсим. Посмотрел там дописывать нужно и задержки и прочее. Решил спросить по этому поводу
iosifk
Цитата(RamZoom @ May 26 2014, 20:26) *
Да вот работал в квартусе со стандартным симулятором, а сейчас нужно перейти на моделсим. Посмотрел там дописывать нужно и задержки и прочее. Решил спросить по этому поводу

Странно...
Вот МоделСим - это как раз и есть самый стандартный симулятор...
А "дописывать" задержки там не нужно. Смотрите RTL симуляцию и если компилятор говорит, что все развел в соотв. с заданными констрейнами, то больше ничего и не нужно...
gotcha
Цитата(RamZoom @ May 26 2014, 13:49) *
А существует какая-нибудь программа автоматического формирования тестбенча verilog и (или) vhdl кода???

Возможно создание скелета тестбенча. Наприм. в Active-hdl герерируется: инстанс тестируемого модуля с объявлением портов, параметров... С помощью скриптовых языков можно прикрутить свои шаблоны, но тесткейсы придется писать самому.
Fitc
Сайт, в котором можно моделировать и синтезировать в open-source САПРах:
http://www.edaplayground.com/
Mikhail B.
Цитата(Esquire @ Jan 23 2005, 17:16) *
С определенной натяжкой можно считать методическим материалом по Quartus выложенное эфовцами здесь.


Здравствуйте, мне тоже очень важна и интересна эта информация. Даже готов помочь с переводом с английского.
Но эта ссылка не работает почему-то, не могу посмотреть материал. Помогите help.gif
iosifk
Цитата(Mikhail B. @ Nov 17 2017, 23:47) *
Здравствуйте, мне тоже очень важна и интересна эта информация. Даже готов помочь с переводом с английского.
Но эта ссылка не работает почему-то, не могу посмотреть материал. Помогите help.gif

По Квартусу было много статей. Смотрите "Архив" на kit-e.ru
AVR
Цитата(RamZoom @ May 26 2014, 15:16) *
Да вот работал в квартусе со стандартным симулятором, а сейчас нужно перейти на моделсим. Посмотрел там дописывать нужно и задержки и прочее. Решил спросить по этому поводу

Для чисто функциональной симуляции RTL так делать не требуется.

Цитата(RamZoom @ May 26 2014, 12:49) *
А существует какая-нибудь программа автоматического формирования тестбенча verilog и (или) vhdl кода???

Только простейшие шаблоны, не думаю что ИИ развит настолько, чтобы создавать хорошие тесты.
_Anatoliy
Цитата(AVR @ Nov 20 2017, 14:10) *
Только простейшие шаблоны, не думаю что ИИ развит настолько, чтобы создавать хорошие тесты.

А что, модельсим умеет делать заготовки для тест-бенча? Редко им пользуюсь но всё же интересно. Просветите?
_Ivan_33
Сам уже думаю написать скрипт на питоне, который генерит по модулю тетсбенч, точнее его заготовку - инстанс модуля, все параметры, все входы и выходы как лоджик, тактирование, таск резета и блок ассершенов на выходы что они никогда не будут $unknown
iosifk
Цитата(_Ivan_33 @ Nov 21 2017, 11:44) *
Сам уже думаю написать скрипт на питоне, который генерит по модулю тетсбенч, точнее его заготовку - инстанс модуля, все параметры, все входы и выходы как лоджик, тактирование, таск резета и блок ассершенов на выходы что они никогда не будут $unknown


Вот гляньте бесплатное, но возможно это не все то, о чем мечталось...
Download link: http://www.veriloghdl.org/download/vtc2012setup.exe
_Anatoliy
Цитата(_Ivan_33 @ Nov 21 2017, 11:44) *
Сам уже думаю написать скрипт на питоне, который генерит по модулю тетсбенч, точнее его заготовку - инстанс модуля, все параметры, все входы и выходы как лоджик, тактирование, таск резета и блок ассершенов на выходы что они никогда не будут $unknown

В Active-HDL я просто нажимаю кнопку Generate Testbench и вуаля, тестбенч готов...
Doka
Цитата(_Ivan_33 @ Nov 21 2017, 11:44) *
Сам уже думаю написать скрипт на питоне, который генерит по модулю тетсбенч, точнее его заготовку - инстанс модуля, все параметры, все входы и выходы как лоджик, тактирование, таск резета и блок ассершенов на выходы что они никогда не будут $unknown

очень ждём!
поскольку эту питоническую нишу пока не оккупировал olofk =)
Maverick
Цитата(Doka @ Jan 27 2018, 11:29) *
очень ждём!
поскольку эту питоническую нишу пока не оккупировал olofk =)

https://www.doulos.com/knowhow/perl/testbench_creation/

Цитата(Doka @ Jan 27 2018, 11:29) *
очень ждём!
поскольку эту питоническую нишу пока не оккупировал olofk =)

https://www.doulos.com/knowhow/perl/testbench_creation/

Вот пример, но я его не проверял
https://github.com/xfguo/tbgen/blob/master/tbgen.py
Или
https://github.com/kdurant/verilog-testbenc...aster/README.md
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.