Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Параллельное включение блокировочных конденсаторов
Форум разработчиков электроники ELECTRONIX.ru > Сайт и форум > В помощь начинающему > Схемотехника
ims
Добрый день!

Для развязки питания цифровых схем обычно рекомендуется параллельное включение конденсаторов разных номиналов для получения низкого импеданса в широком диапазоне частот. Например, здесь для развязки питания STM32F103 ув. =AK= советует параллельное включение конденсатора 1мкФ и 4-х конденсаторов 10нФ.

Если взять эквивалентные схемы конденсаторов, например, для серии CGA3, и построить график зависимости модуля импеданса для параллельного включения 1мкФ и 4x10нФ, то получится кривая с резонансным подъемом на частотах 20-50МГц (желтая линия на графике). На частоте 35МГц импеданс параллельно включенных конденсаторов почти в 5 раз больше, чем импеданс каждого из конденсаторов по отдельности. Результат ожидаемый, поскольку в диапазоне частот между собственными резонансами конденсаторов фазы их импедансов различаются практически на 180 градусов, конденсаторы "мешают" друг другу.

Эффект заметный, однако о нем совершенно не упоминается в контексте проектирования развязки питания. Это действительно не важно? Или в реальных платах вредное взаимовлияние конденсаторов как-то нивелируется?



Lmx2315
..для STM32F103 в своих схемах я ставил по одному конденсатору 0.1 мкф на каждую ножку питания и один 10 мкф в центре под корпус, всегда без сбоев работало на f=72 Мгц , пит 3.3В в диапазоне +10 - +50.
з.ы.
и я подозреваю, что я ещё и перебдил.
ZASADA
а параллельновключенные резисторы суммируются?
HardEgor
Цитата(ims @ Sep 22 2017, 19:47) *
Эффект заметный, однако о нем совершенно не упоминается в контексте проектирования развязки питания. Это действительно не важно? Или в реальных платах вредное взаимовлияние конденсаторов как-то нивелируется?

Есть еще сопротивление нагрузки и индуктивность дорожек между конденсаторами. Поэтому да, нивелируется.
ViKo
Поставьте парочку конденсаторов по 0.1 мкФ. А 10 нФ я ставлю в питание высокочастотных узлов, в дополнение к другим. Не в питание МК.
_pv
что-то у вас не правильно насчитано
Нажмите для просмотра прикрепленного файла
=AK=
Цитата(ims @ Sep 22 2017, 21:47) *
Если взять эквивалентные схемы конденсаторов, например, для серии CGA3, и построить график зависимости модуля импеданса для параллельного включения 1мкФ и 4x10нФ, то получится кривая с резонансным подъемом на частотах 20-50МГц (желтая линия на графике).


А вы учитывали индуктивности и сопротивления проводников разводки питания на печатной плате? И проводников, соединяющих кристалл с пинами земли и питания? Если пытаться их учитывать, то эквивалентная схема будет намного сложнее. А сильно упрощенная схема будет состоять из одного конденсатора 10nF и более-менее идеальной емкости (которая есть емкость всех остальных конденсаторов в питании), присоединенной к нему через некую индуктивность с потерями.

ims
Цитата(_pv @ Sep 22 2017, 19:06) *
что-то у вас не правильно насчитано
Нажмите для просмотра прикрепленного файла

С Вашими графиками согласиться не могу. Посмотрите, например, на характеристики CGA3E1X7R1C105KT на сайте производителя. В окрестности резонанса на графике есть характерный "клювик" – на Ваших кривых его нет. На частоте резонанса импеданс должен быть равен ESR (R1 в модели) – у Вас намного больше.
Скорее всего, Вы потеряли мнимые единицы в импедансе конденсатора и дросселя: 1/(i ω C), i ω L.

Если у Вас под рукой есть пакет Mathematica, посмотрите программу, которой я строил графики. Ошибиться там сложно.

Цитата(=AK= @ Sep 23 2017, 05:17) *
А вы учитывали индуктивности и сопротивления проводников разводки питания на печатной плате? И проводников, соединяющих кристалл с пинами земли и питания? Если пытаться их учитывать, то эквивалентная схема будет намного сложнее. А сильно упрощенная схема будет состоять из одного конденсатора 10nF и более-менее идеальной емкости (которая есть емкость всех остальных конденсаторов в питании), присоединенной к нему через некую индуктивность с потерями.

Нет, не учитывал.

Не могли бы привести поддающуюся расчету эквивалентную схему? Хотелось бы разобрать по возможности упрощенный пример, на котором можно убедиться расчетом, что при некоторых заданных условиях схема (с процессором или с дискретной логикой) будет работать с блокировочным конденсатором 0402 10нФ, и не будет работать, например, с 1206 100нФ (или, совсем грубо, с электролитом 10мкФ).
_pv
да, знак потерял.
но вот значения esr что-то слишком оптимистичные,
а так да, при желании наверное можно подобрать такие low esr ёмкости которые звенеть будут на определённых частотах.
=AK=
Цитата(ims @ Sep 23 2017, 18:42) *
пример, на котором можно убедиться расчетом, что при некоторых заданных условиях схема (с процессором или с дискретной логикой) будет работать с блокировочным конденсатором 0402 10нФ, и не будет работать, например, с 1206 100нФ


Это вряд ли. Она скорей всего будет нормально работать и в том и в другом случае. И даже с проводным конденсатором 100n, а не с SMD кондером. Тому порукой практика последних 50 лет. Но запас помехоустойчивости будет меньше.
Alexashka
Не хотелось бы расжигать холивар, но вопрос не такой однозначный. Или как часто советуют ставить 4-5 конденсатора с шагом 10:1.
Вот например из книги Генри Отта "Электромагнитная совместимость": игра в русскую рулетку?
Нажмите для просмотра прикрепленного файла
ViKo
15 нГ - это слишком много для SMD конденсатора. Думаю, 1 нГ - самое то.
=AK=
Цитата(ViKo @ Sep 25 2017, 17:40) *
15 нГ - это слишком много для SMD конденсатора. Думаю, 1 нГ - самое то.

Наверное он имел ввиду индуктивности проводников, соединяющих конденсаторы. Однако цепь питания как правило не одна линия, а что-то вроде сетки, какая там индуктивность - трудно оценить.

Я тоже более склонен к одинаковым развязывающим конденсаторам, но не вижу причин, почему надо ставить именно 100 нФ. Просто так уж повелось. Логичнее было бы ставить минимальной емкости, достаточной для развязки, что на мой взгляд ближе к 10 нФ. Просто потому что их частотные свойства лучше. А на более низких частотах вступят в действие конденсаторы, которые стоят подальше.

Интересно, что получится, если соединить конденсаторы через развязывающие ферритовые бусины. Некоторые типы ферритов позиционируются как "рассеивающие". Будут с ними резонансы или нет - неясно.
ViKo
Да, если питание разводить проводниками, индуктивность может оказаться ощутимой. Нужно использовать многослойки и выделять целые слои для питания и земли. И тогда индуктивность будет очень малой. И никаких резонансов (антирезонансов, как в книге пишется) практически не будет. Потому и переходных отверстий рекомендуют ставить несколько (много), а не одно.
bloody-wolf
Наборы номиналов на цифру вообще бессмысленно ставить. Ставят как правило один большой поближе к чипу, ну например 10-47мкФ и дальше рядом с пинами по 0,1-0,47мкФ. Наборы типа 200пФ+10нФ+0,1мкФ+1-4мкФ имеет смысл ставить исключительно на аналоговые входы, применительно к СТМ32 это могут быть VDDA от которого питается АЦП часть, да и то, столько не нужно, чаще всего 10нФ+0,1мкФ достаточно. Гораздо важнее, если ток по входу небольшой, поставить феррит бид на килоом-два и после него уже группу конденсаторов
Alexashka
Цитата(ViKo @ Sep 25 2017, 11:40) *
15 нГ - это слишком много для SMD конденсатора. Думаю, 1 нГ - самое то.

15 нГ это примерно 15мм дорожки на ПП, между соседними блокирующими конденсаторами, например стоящими по разным сторонам контроллера. Обычное дело - двухслойка, земля более-менее цельная заливка на нижнем слое, а питание в виде тостых (1мм) проводников по верхнему слою, при нынешних размерах компонентов их можно считать "шинами" sm.gif
ViKo
Цитата(Alexashka @ Sep 26 2017, 09:34) *
15 нГ это примерно 15мм дорожки на ПП, между соседними блокирующими конденсаторами, например стоящими по разным сторонам контроллера. Обычное дело - двухслойка, земля более-менее цельная заливка на нижнем слое, а питание в виде тостых (1мм) проводников по верхнему слою, при нынешних размерах компонентов их можно считать "шинами" sm.gif

Saturn PCB для 1 мм ширины microstrip (дорожка над полигоном) дает около 2 нГ на 1 см.
Питание надо водить широкими проводниками. 1 мм - этого мало.
Alexashka
Цитата(ViKo @ Sep 26 2017, 11:23) *
Saturn PCB для 1 мм ширины microstrip (дорожка над полигоном) дает около 2 нГ на 1 см.

1нГн/1мм это примерная величина парциальной индуктивности проводника без учета нижележащих полигонов.
С полигоном да, меньше, но опять же зависит от толщины платы, от качества полигона (наличия разрывов и ПО) и всего прочего (например проводник идет над краем полигона). Но и 2 нГн/см это вы как считали?? Вот что говорит ваш Saturn про стандартную двухслойку:
Нажмите для просмотра прикрепленного файла
Т.е на 15 мм проводника в любом случае будет 7 нГн.
ViKo
Я высоту не менял, как была 0,254 мм, так и оставил. Не обратил внимания. Для четырехслойки она такой и будет.
Насчет 1 нГ/мм - я тоже так всегда прикидывал для сигнальных цепей. Но в данном случае проводники питания можно и нужно делать гораздо шире.
Alexashka
Цитата(ViKo @ Sep 26 2017, 13:07) *
Но в данном случае проводники питания можно и нужно делать гораздо шире.
Совет правильный, но в данном случае речь не об этом. Ну пусть там будет не 15 нГн, а 10 или 5, пусть даже для ровного счета индуктивность уменьшится в 4 раза, это значит, что частота резонанса увеличится в 2 раза, т.е вместо 10 МГц будет 20. Сам резонанс (или антирезонанс) никуда не денется от этого. laughing.gif
ViKo
Цитата(Alexashka @ Sep 26 2017, 13:26) *
...индуктивность уменьшится в 4 раза, это значит, что частота резонанса увеличится в 2 раза, т.е вместо 10 МГц будет 20. Сам резонанс (или антирезонанс) никуда не денется от этого.

Станет намного менее заметным, поскольку раньше начнется действие конденсатора следующего (меньшего) номинала. Поэтому и логично ставить конденсаторы с небольшим шагом номиналов.
Alexashka
Цитата(ViKo @ Sep 26 2017, 13:55) *
Станет намного менее заметным, поскольку раньше начнется действие конденсатора следующего (меньшего) номинала. Поэтому и логично ставить конденсаторы с небольшим шагом номиналов.

А вот симуляция с вами не согласна.

2 конденсатора 0,1мкФ + 10нФ, импеданс системы на первом антирезонансе при различных индуктивностях питания 7,4; 2,0; 1,1 Ом.
Нажмите для просмотра прикрепленного файла

4 конденсатора 0,1мкФ + 10нФ + 1нФ + 100пФ, импеданс системы на первом антирезонансе при различных индуктивностях питания 7,7; 2,1; 1,2 Ом.
Нажмите для просмотра прикрепленного файла

Особо разницы не вижу laughing.gif

Ну и для сравнения связка из четырех конденсаторов 0,1 мкФ типа GRM188R71E104KA01 даёт следующие значения импеданса (на тех же частотах): 0,19; 0,1 и 0,074 Ом. Чувствуете разницу? biggrin.gif
Stewart Little
Вот что по данному вопросу имеется у Altera: https://www.altera.com/support/support-reso...on-network.html
Оттуда: AN 574: Printed Circuit Board (PCB) Power Delivery Network (PDN) Design Methodology
ViKo
Цитата(Alexashka @ Sep 26 2017, 16:09) *
А вот симуляция с вами не согласна.
2 конденсатора 0,1мкФ + 10нФ, импеданс системы на первом антирезонансе при различных индуктивностях питания 7,4; 2,0; 1,1 Ом.
4 конденсатора 0,1мкФ + 10нФ + 1нФ + 100пФ, импеданс системы на первом антирезонансе при различных индуктивностях питания 7,7; 2,1; 1,2 Ом.
Особо разницы не вижу laughing.gif

А почему здесь должна быть разница? Одни и те же номиналы конденсаторов с "правильным" шагом.
Изначально речь шла про 1 мкФ + 0,01 мкФ. Вот там почувствуете разницу при разных индуктивностях.
Цитата
Ну и для сравнения связка из четырех конденсаторов 0,1 мкФ типа GRM188R71E104KA01 даёт следующие значения импеданса (на тех же частотах): 0,19; 0,1 и 0,074 Ом. Чувствуете разницу?

Лучше бы на графики взглянуть. Тогда почувствуем по полной.
ims
Цитата(ViKo @ Sep 26 2017, 16:56) *
Лучше бы на графики взглянуть. Тогда почувствуем по полной.

Графики |Z(f)| для схем из поста Alexashka #22: 0,1мкФ + 10нФ; 0,1мкФ + 10нФ + 1нФ + 100пФ; четыре конденсатора 0,1 мкФ. Добавочные индуктивности везде 2нГн.


vvvv
Прежде чем строить графики и тем более на базе графиков делать выводы, сделайте три вещи
1. Найдите полные модели S параметров конденсаторов, убедитесь что модели работают до 6ГГц ну или до 1ГГц минимум
2. Скомпилируйте модель печатной платы, тоже в S параметры при помощи софта который позволяет это сделать
3. Скомбинируйте модель печатной платы и модели конденсаторов в пакете моделирования типа HSpice и получите
честный график АЧХ.
А то уже вторая страница пошла в чем моделируется, как получены результаты непонятно.
Влияние стека печатной платы, которую тут не рассматривают, завалит любые package параметры просто на раз.
Без строгого подхода в такого рода делах все разговоры абсолютно лишены смысла, от слова совсем.

PS: Модели представленные пользователем Алексашка можно смело назвать взятыми с потолка, то есть да они вроде
как похожи на некий усредненный вариант, но этот вариант также далек от конкретной платы как Питер от Марса.
А Сатурн несерьезный пакеты для проверки работы PDN тем более до гигагерца.

И более того импеданс цепи питания тем более по сетке частот всегда имеет смысл рассмтривать только вместе
с потреблением тока конкретной схемой по этой же сетке частот. Если у Вас нет сетки потребления, Ваши графики
это конь в вакууме.
Альтера, за что ей большое спасибо предоставляет такую информацию.
В целом по теме вся эта возня лишена смысла в приложении к STM32F103. Там надо просто поставить
по паре конденсаторов 1+0.1 на каждый пин питания, и 10..100uF на весь чип и тупо забить.
ViKo
Цитата(ims @ Sep 26 2017, 19:38) *
Графики |Z(f)| для схем из поста Alexashka #22: 0,1мкФ + 10нФ; 0,1мкФ + 10нФ + 1нФ + 100пФ; четыре конденсатора 0,1 мкФ. Добавочные индуктивности везде 2нГн.

А еще покажите для 8 нГн. Будет с чем сравнить.
ims
Цитата(ViKo @ Sep 26 2017, 22:08) *
А еще покажите для 8 нГн. Будет с чем сравнить.

Графики |Z(f)| для схем из поста Alexashka #22: 0,1мкФ + 10нФ; 0,1мкФ + 10нФ + 1нФ + 100пФ; четыре конденсатора 0,1 мкФ. Добавочные индуктивности везде 8нГн.


Alexashka
Цитата(vvvv @ Sep 26 2017, 20:46) *
Прежде чем строить графики и тем более на базе графиков делать выводы, сделайте три вещи
1. Найдите полные модели S параметров конденсаторов, убедитесь что модели работают до 6ГГц ну или до 1ГГц минимум
2. Скомпилируйте модель печатной платы, тоже в S параметры при помощи софта который позволяет это сделать
3. Скомбинируйте модель печатной платы и модели конденсаторов в пакете моделирования типа HSpice и получите
честный график АЧХ.
А то уже вторая страница пошла в чем моделируется, как получены результаты непонятно.
Влияние стека печатной платы, которую тут не рассматривают, завалит любые package параметры просто на раз.
Без строгого подхода в такого рода делах все разговоры абсолютно лишены смысла, от слова совсем.
...
И более того импеданс цепи питания тем более по сетке частот всегда имеет смысл рассмтривать только вместе
с потреблением тока
конкретной схемой по этой же сетке частот.
...
В целом по теме вся эта возня лишена смысла в приложении к STM32F103.

Вот так вот прям завалит даже на 20 МГц ?? Серьезно?
А как связано потребление тока и импеданс связки конденсаторов? wacko.gif Эээээ..........
А как стыкуются ваши 6ГГц и STM32F103 ??

Ох уж эти критики...Вы бы лучше (если умеете по пунктам 1-2-3) привели результаты моделирования импеданса в "правильном" софте. Я думаю всем будет интересно, некая усредненная плата, несколько конденсаторов, трасса длинной...на ваш вкус sm.gif ну может ТС оговорит более конкретные требования? Я например не считаю что нужно "поставить по паре конденсаторов 1+0.1 на каждый пин питания, и 10..100uF на весь чип и тупо забить", ибо цифровая часть зачастую соседствует с аналоговой, и чтобы помехи с "цифры" не лезли в аналоговую часть желательно их "закапсулировать" в цифровой зоне как можно лучше. В этом смысле конечно и трассировка цепей земли/питания играет важную роль, но и с конденсаторами хотелось бы разобраться, так как низкий импеданс в широкой полосе как раз помогает снизить пульсации от "цифры".

2 ims, спасибо, вроде всё совпадает по цифрам sm.gif
ViKo
Цитата(ims @ Sep 27 2017, 09:12) *
Графики |Z(f)| для схем из поста Alexashka #22: 0,1мкФ + 10нФ; 0,1мкФ + 10нФ + 1нФ + 100пФ; четыре конденсатора 0,1 мкФ. Добавочные индуктивности везде 8нГн.

Спасибо! Поучительно.

Еще хочу обратить внимание, что интересовать должен импеданс питания в точке подключения к выводу микросхемы, а не со стороны источника питания. Поэтому индуктивность проводника подводящего питание к конденсатору, ближайшему к выводу микросхемы, не должна учитываться. Важна индуктивность от конденсатора к выводу. Впрочем, зависит от того, где помеха наиболее опасна.
vvvv
QUOTE (Alexashka @ Sep 27 2017, 10:23) *
А как связано потребление тока и импеданс связки конденсаторов? wacko.gif Эээээ..........
А как стыкуются ваши 6ГГц и STM32F103 ??

1.
Импеданс связки конденсаторов умноженный на пиковый ток потребления дает dV между целевым напряжением
и реальным. И если она эта dV больше скажем 5% , а для некоего процессора это пороговое отклонение от напряжения,
то батарея не выполнит свою задачу.

И как я уже писал, все это никак НЕ касается STM32F103. У него требования к питанию довольно широкие и
тут просадка по питанию может сказаться только при работе на предельной частоте, но там они тоже дают
довольно размытые данные. То есть на 8МГц он будет работать как трактор со связкой 1+0.1 без проблем.

Rise/fall time у STM32F103 5ns, согласно общепринятому соотношению BW = 70МГц, то есть для STM32F103 имеет
смысл рассматривать именно эту частоту как потолок, а это очень низкая частота, чтобы всерьез разбираться
здесь с влиянием импеданса конденсаторов на работу процессора, а также переключение портов процессора,
и их влияние на потребление.

2.
Модель с предельной 6ГГц будет показывать валидные данные на 1ГГц, модель на 1ГГц будет слегка врать,
ну можно такое предположить, так как на границах диапазона данные не всегда верны.
1ГГц НИКАК не относится к STM32F103, но раз уж тут приводят графики до 1ГГц то если делать то делать.


Из всего вывод. Прежде чем вникать в вопросы импедансов, надо определиться с граничной частотой
потребления. В данном случае она 70МГц, и это очень низкая частота, чтобы заморачиваться, и просто надо
поставить минимум по 0.1uF на ногу, и один bulk конденсатор на 10..100uF и забыть.
Но если хочется освоить всю цепочку анализа, тогда надо делать это строго.

Собственно об этом мой пост.

PS: Про помехозащиту между аналогом и цифрой не буду провоцировать споры, просто скажу, если в данном
конкретном случае есть некий аналог рядом с цифрой, то его тоже надо рассматривать конкретно. Аналоги они ж разные...
Alexashka
Цитата(vvvv @ Sep 27 2017, 11:32) *
PS: Про помехозащиту между аналогом и цифрой не буду провоцировать споры, просто скажу, если в данном
конкретном случае есть некий аналог рядом с цифрой, то его тоже надо рассматривать конкретно. Аналоги они ж разные...

Да как бы и в самом STM есть АЦП и ЦАП, у которого земля общая с цифровым питанием, так что... Ну, если ТС их не использует, то можно ограничиться только требованием по питанию для цифры.
В остальном согласен.
ims
Цитата(vvvv @ Sep 27 2017, 11:32) *
Но если хочется освоить всю цепочку анализа, тогда надо делать это строго.

Да, "хочется освоить цепочку анализа".

Сейчас я разбираюсь с книгой Отта (Henry W. Ott Electromagnetic Compatibility Engineering), из которой Alexashka приводил графики в сообщении 11. Для большей ясности хотелось бы разобрать простой модельный пример. Буду признателен, если участники форума найдут возможность покритиковать.

Пусть есть двусторонняя плата FR-4 толщиной 1,5мм, разведенная, как показано на рисунке. Ширина дорожек 0,3мм (разводка намеренно плохая для усиления паразитных эффектов и упрощения расчета). На плате установлен единственный активный элемент - инвертор LVC1G04. Частота передачи данных до 100Мбит/с. Выход нагружен на CL=10пФ. Питание VCC=3.3В подводится слева, от источника с большим ВЧ импедансом (например, от лабораторного БП через длинные провода). Развязка питания выполнена блокировочным конденсатором 0402 в непосредственной близости от МС и bulk-конденсатором 1206 10мкФ на расстоянии 25мм. Нижняя сторона платы – сплошной земляной полигон.
Нажмите для просмотра прикрепленного файла

Оценим поведение схемы при номиналах блокировочного конденсатора 0402 1нФ, 10нФ, 100нФ. Топологию и остальные параметры схемы не меняем. Анализ:
1) Согласно даташиту Cpd=18пФ. Время нарастания tr в даташите не указано, принимаем равным 3нс.
2) Амплитуда динамического тока J = (CL+Cpd)*Vcc/tr = 30мА [Ott eq.11-1, 11-2b].
3) Целевой импеданс для амплитуды пульсаций dV=0.1В (3% от Vcc) - Zt=2*dV/J=6.7Ом, граничная частота fc=1/(pi*tr)=106МГц [Ott 11.4.5].
4) Эквивалентная схема для расчета импеданса приведена на рисунке, schematic для LTSpice во вложении. Микросхема моделируется источником тока (треугольные импульсы Trise=Tfall=3нс, амплитуда I1=0, I2=30мА) [Ott 11.2.1]. Индуктивность дорожки шириной 0.3мм при расстоянии до полигона 1.5мм — 0.68нГн/мм [Ott eq.10-5], индуктивность полигона — 0.026нГн/мм [Ott Fig.10-19], индуктивность межслойного - 0.8нГн ("типичное значение" из [Ott]). Паразитные параметры конденсаторов взяты из альтеровской PDN Tool (0402 1нФ — L=0.4нГн, R=161мОм; 0402 10нФ — L=0.4нГн, R=60мОм; 0402 100нФ — L=0.4нГн, R=28мОм).
5) Результат расчета импеданса приведен на графике. Синяя линия - целевой импеданс (рост 40дБ на декаду выше граничной частоты). Положения максимумов: (32.6МГц, 54Ом), (10.3МГц, 7.6Ом), (3.2МГц, 0.94Ом). Таким образом, номиналы 1нФ и 10нФ не проходят по целевому импедансу, а 100нФ – проходит.
6) Симуляция эквивалентной схемы в LTSpice дает следующие оценки для пик-пик пульсаций напряжения питания на микросхеме (V(V1)-V(V0)) в установившемся режиме на частоте максимума импеданса:
0402 1нФ f=32.6МГц — 628мВ
0402 10нФ f=10.3МГц — 31мВ НЧ + иголки 6нс 82мВ
0402 100нФ f=3.2МГц —1.4мВ НЧ + иголки 6нс 80мВ

Вопросы. Амплитуда иголок в вариациях с 10нФ и 100нФ одинакова из-за того, что на высоких частотах импедансы для этих двух случаев практически одинаковы. Правильно? Амплитуда низкочастотных пульсаций при симуляции получается намного меньше, чем должно быть по формуле dV=J*Z/2 (31мВ против 114мВ, 1.4мВ против 14.1мВ). Как это можно объяснить? Хотелось бы также услышать замечания по эквивалентной схеме и расчету паразитных индуктивностей.

Нажмите для просмотра прикрепленного файла Нажмите для просмотра прикрепленного файла

P.S. Не надо больше про STM32F103. У меня нет проблем с его разводкой. Эта тема скорее методически-образовательная rolleyes.gif.
Alexashka
Цитата
Амплитуда низкочастотных пульсаций при симуляции получается намного меньше, чем должно быть по формуле dV=J*Z/2 (31мВ против 114мВ, 1.4мВ против 14.1мВ). Как это можно объяснить?

Замените источник тока с импульсного на гармонический с той же амплитудой и попробуйте еще раз.

ЗЫ. Мож я чё не понимаю, но умножать импульсный ток на гармонический импеданс это както мягко говоря странно blink.gif Но это лучше пусть vvvv объяснит, я тут не силён.
Цитата(vvvv @ Sep 27 2017, 11:32) *
Импеданс связки конденсаторов умноженный на пиковый ток потребления дает dV между целевым напряжением
и реальным.
ims
Цитата(Alexashka @ Sep 28 2017, 11:54) *
Замените источник тока с импульсного на гармонический с той же амплитудой и попробуйте еще раз.

Для гармонического источника все сходится - dV=J*|Z(f)| (без двойки, конечно). Насчет "гармонического импеданса" - это Вы знатно сказали rolleyes.gif. Если импульсы тока периодические, то в линейной схеме независимо от формы импульсов Фурье-спектр напряжения связан с Фурье-спектром тока через импеданс: Vn=Jn*Z(fx*n), где fx - частота следования импульсов, n - номер гармоники. Если мы задаемся целевым предельным значением модуля импеданса, то, зная спектр тока, можем однозначно оценить сверху среднеквадратическое значение напряжения пульсаций. А вот амплитуда... Я так понимаю, она может получиться любая, как фаза ляжет...
Alexashka
Цитата(ims @ Sep 28 2017, 16:51) *
А вот амплитуда... Я так понимаю, она может получиться любая, как фаза ляжет...

Вот именно. Импульсы почему высокие? потому что вся совокупность бесконечных синусоид (из которых состоит импульс) складывается хитрым образом так, что образуют один высокий "горб" -импульс. Ваша схема -по сути фильтр, который действует обратным образом, он раскладывает импульс на отдельные гармоники, амплитуды которых уже не складываются (или складываются как случайные сигналы).
ims
Цитата(Alexashka @ Sep 29 2017, 09:18) *
Вот именно. Импульсы почему высокие? потому что вся совокупность бесконечных синусоид (из которых состоит импульс) складывается хитрым образом так, что образуют один высокий "горб" -импульс. Ваша схема -по сути фильтр, который действует обратным образом, он раскладывает импульс на отдельные гармоники, амплитуды которых уже не складываются (или складываются как случайные сигналы).

На самом деле амплитуду можно строго оценить сверху (tr - время нарастания, T - период следования импульсов):

Если считать, что модуль импеданса везде не превышает Zt, то

Но это амплитуда, пик-пик размах в два раза больше...

Кстати, в посте #33 у меня ошибка. 40дБ/декаду это спадание амплитуды гармоник треугольных импульсов. Целевому импедансу Отт "разрешает" расти (без особого обоснования) на 20дБ/декаду после fc=1/(pi*tr). Для этого случая оценка амплитуды V расходится.

Исправленный график |Z(f)| для поста #33:
Нажмите для просмотра прикрепленного файла
Alexashka
Цитата(ims @ Sep 29 2017, 12:39) *
На самом деле...
Математика для меня уже далеко позади осталась, так что все Ваши математические фокусы я всё равно не пойму. Вы покажите как симуляция сходится с Вашими расчетами (пусть не по Отту, не суть)... Ну а если не сходится, скорей всего в консерватории что-то не то. sm.gif Хотя и симуляторы иногда врут.
ims
Цитата(Alexashka @ Sep 29 2017, 14:40) *
Математика для меня уже далеко позади осталась, так что все Ваши математические фокусы я всё равно не пойму. Вы покажите как симуляция сходится с Вашими расчетами (пусть не по Отту, не суть)...

С симуляцией все сходится. Расчет «по Отту» обещает только то, что если модуль импеданса не превышает Zt (с учетом разрешенного подъема на 20дБ/декада после fc), то амплитуда пульсаций dV не превышает 0.5*J*Zt (J - размах тока пульсаций). В посте #33 так и получилось: для варианта с конденсатором 100нФ пульсации в симуляторе 80мВ пик-пик (амплитуда 40мВ), что с запасом меньше «целевых» 100мВ. Выделять отдельно низкочастотные и высокочастотные пульсации, как сделал я, в этом контексте бессмысленно.

Упражнения с математикой только из-за того, что по тексту Отта мне показалось, что оценка dV<=0.5*J*Zt является строгим математическим следствием принятой модели потребления в виде треугольных импульсов тока. Ни доказать ни опровергнуть это у меня сходу не получилось. Но это и не важно – можно рассматривать как эмпирическую методику. Тем более, что с ней согласуются даже грубые предельные случаи вроде голого дросселя на ножках питания.

Хотелось бы еще выяснить, как правильно оценивать индуктивность коротких дорожек. Например, для дорожки длиной 1,5мм получилось около 1нГн - больше, чем индуктивность via. Но общая формула справедлива для длинных дорожек, l>>h...

Правильно ли я понимаю, что при расчете развязки индуктивностью plane-ов принято пренебрегать? Во всяком случае, в альтеровской PDN Tool судя по эквивалентной схеме это вроде бы так…

Еще, у Отта в разделе 11.4.5 есть странный пассаж:
Цитата
Therefore, the objective of decoupling should be to minimize the Vcc-to ground noise voltage at the pins of the IC. To accomplish this, one can neglect the internal inductance of the IC. Therefore, we only have to consider the decoupling capacitor inductance and the PCB trace (including via) inductance.

Интересно, какого порядка индуктивность внутренних соединений, например, в каком-нибудь большом QFP? Если она того же порядка, что индуктивность дорожки или via, на каком основании ею можно пренебречь?

В общем, пока не все ясно rolleyes.gif
VCO
Широкие проводники, цепочки конденсаторов 10:1 - это всё вчерашний день.
Без использования помехоподавляющих дросселей (бидов) это всё работает не так эффективно.
vvvv
QUOTE (Alexashka @ Sep 28 2017, 11:54) *
ЗЫ. Мож я чё не понимаю, но умножать импульсный ток на гармонический импеданс это както мягко говоря странно blink.gif Но это лучше пусть vvvv объяснит, я тут не силён.

Ну да, я выразился неправильно. Импеданс на конкретной частоте надо умножать на значение magnitude тока на данной частоте, то есть да нужен спектр потребления тока.
И тогда придется нырять в спектр падения напряжения, который потом надо суммировать, поэтому чтобы в такие дебри не залезать, лучше взять пакет
моделирования, самый простой AWR MWO, и в нем промоделировать работу сети конденсаторов.

Для чего, как я уже написал, либо импортировать layout конкретной трассировки, либо построить вручную упрощенную модель, но с переходными сделать это сложнее.
Простой путь, в пакете типа ADS Genesys или ANSYS SIwave выташить S-parameters трассировки. Можно тоже самое сделать в ANSYS HFSS или CST но считать будет пару дней.
Затем засунуть ее вместе с S-моделями конденсаторов в AWR MWO, и промоделировать совместно с IBIS моделью гейта типа LVC1G04, ну и да, не забыть проверить,
что там есть package parameters внутри IBIS модели.

Проверять математически бессмысленно, и тем более при помощи LT Spice, так как придется сделать слишком много допущений.
Полная модель гейта на плате с сетью конденсаторов включает
1. модель S параметров печатной платы,
2. модель IBIS гейта которая содержит package параметры гейта,
то есть RLC значения его пинов, обычно либо делается вручную либо входит в состав IBIS модели
учитывают паразитное влияние корпуса. И тут все зависит от типа корпуса, на каждый корпус
есть свои Package RLC модели, SOT, QFP, QFN, BGA у каждого корпуса свои параметры.
Для проверки лучше взять IBIS модель FPGA там точно все есть с привязкой к корпусу.
Вручную можно поискать в инете и просто добавить простые RLC цепочки на каждый пин.
3. модель S параметров конденсаторов

4. Модель источника питания, ну тут как правило идеальный источник, с внутренним сопротивлением
и индуктивностью провода от источника до точки входа питания печатной платы.

Все это запускается в Spice моделирование, на вход гейта подается последовательность бит, на выводы питания
вешается осциллограф, и получаем выбросы. И да у IBIS модели гейта есть пины питания, вот их активировать
и подключить к питанию и земле.
Хотя буржуи пишут что это некорректный способ проверки работы гейта, и прямой связи между работой
IBIS модели гейта и выводами питания там нет. Точнее она есть но количественно может работать не очень.

И вот тут можно попытаться вместо гейта поставить модель выходного каскада гейта на CMOS транзисторах,
ну и нагрузить гейт согласно схеме, на скажем IBIS вход другого гейта или группы через модель печатной платы,
которая будет выступать соединителем между гейтами.
Модель печатной платы в этом случае выступает как многоточечная модель S параметров, на 8, 12 или 20 выводов.

Ни в коем случае не навязываю такой способ проверки работоспобности, просто предлагаю как вариант.

PS: Тема на мой взгляд важная и нужная, я в этом деле дошел только до моделирования с IBIS моделями, дальше не ходил.
ТС предлагаю, если заинтересует, попытаться проработать вариант с выходным каскадом. Заодно расскажет что получилось.
Alexashka
Цитата(ims @ Sep 30 2017, 14:47) *
Хотелось бы еще выяснить, как правильно оценивать индуктивность коротких дорожек. Например, для дорожки длиной 1,5мм получилось около 1нГн - больше, чем индуктивность via. Но общая формула справедлива для длинных дорожек, l>>h...
Этот вопрос наверно лучше в раздел Rf & Microwave Design
Вообще в этих расчетах забывают про распределенную емкость дорожки, т.е короткий и широкий проводник можно условно считать конденсатором ( на RF часто выполняют в виде сектора круга ), т.е. для точного расчета на высоких частотах нужно учитывать и распределенную емкость проводника, и распределенную индуктивность полигонов питания.

Общая формула наверное даст завышенное значение индуктивности, но может быть это и не плохо, так сказать проверка по наихудшему варианту.
Цитата(ims @ Sep 30 2017, 14:47) *
Еще, у Отта в разделе 11.4.5 есть странный пассаж:
Цитата
Therefore, the objective of decoupling should be to minimize the Vcc-to ground noise voltage at the pins of the IC. To accomplish this, one can neglect the internal inductance of the IC. Therefore, we only have to consider the decoupling capacitor inductance and the PCB trace (including via) inductance.

Интересно, какого порядка индуктивность внутренних соединений, например, в каком-нибудь большом QFP? Если она того же порядка, что индуктивность дорожки или via, на каком основании ею можно пренебречь?
А что тут странного? Это же не микросхема RF усилителя, который нужно правильно согласовывать по входу/выходу sm.gif Цифровые (да и аналоговые_не_RF) микросхемы выпекают таким образом, чтобы пользователь мог смело ставить их на плату, обвязав соответствующим образом (т.е самыми типовыми блокирующими ёмкостями) и они нормально при этом функционировали...о чем и говорит автор, т.е нужно добиться, чтобы шум на ножках питания не превышал определенного уровня, а разводка внутри мелкосхемы это уже не ваша проблема sm.gif
ims
Цитата(=AK= @ Sep 25 2017, 12:04) *
Интересно, что получится, если соединить конденсаторы через развязывающие ферритовые бусины. Некоторые типы ферритов позиционируются как "рассеивающие". Будут с ними резонансы или нет - неясно.

Еще немного картинок rolleyes.gif

На рисунке приведен вариант развязки шин цифрового и аналогового питания (например, для PLL или АЦП):
Нажмите для просмотра прикрепленного файла
Импеданс источника питания моделируется резистором 0.1Ом и дросселем 100нГн. Bulk-конденсаторы на основной шине +3.3В - 22мкФ (1206), 2шт. Блокировочные конденсаторы на шине VCCA - 0.1мкФ. Монтажная индуктивность конденсаторов - 2.2нГн. Между шинами - BLM31PG601SN1.

Результат расчета импеданса по моделям Murata:
Нажмите для просмотра прикрепленного файла
Синяя линия - импеданс с закороченной бусиной. Как обычно имеет место резонансный пик на частоте 6МГц из-за взаимодействия конденсаторов разных номиналов.
Красная линия - импеданс со стороны шины +3.3В при наличии бусины. Пик на частоте 6МГц полностью исчез.
Желтая линия - импеданс со стороны шины VCCA при наличии бусины. На частоте 131кГц появился резонанс большой амплитуды (123Ом).

Действительно, из модели BLM31PG601SN1 следует, что на низких частотах бусина ведет себя как дроссель с индуктивностью около 4мкГн. Совместно с емкостью около 400нФ это приводит к низкочастотному резонансу.

Если в схеме добавить на шину VCCA керамический конденсатор 10мкФ с малым ESR, то основной резонанс сгладится и уйдет ниже по частоте (4Ом 31кГц), но появится еще один поменьше (0.6Ом @ 5МГц):
Нажмите для просмотра прикрепленного файла

Если последовательно с керамическим конденсатором 10мкФ включить демпфирующий резистор 2 Ома, как, например, рекомендуют здесь, то низкочастотный резонанс снизится еще в два раза (1.4Ом @ 29кГц), а высокочастотный резонанс останется на месте:
Нажмите для просмотра прикрепленного файла

М.б. это всем известно, но для меня низкочастотные "пакости" от бусин были полной неожиданностью. Бусины всегда ассоциируются с высокими частотами, и та же Murata приводит характеристики от 1МГц.
dxp
QUOTE (ims @ Oct 4 2017, 01:56) *
Действительно, из модели BLM31PG601SN1 следует, что на низких частотах бусина ведет себя как дроссель с индуктивностью около 4мкГн. Совместно с емкостью около 400нФ это приводит к низкочастотному резонансу.

...

Если последовательно с керамическим конденсатором 10мкФ включить демпфирующий резистор 2 Ома, как, например, рекомендуют здесь, то низкочастотный резонанс снизится еще в два раза (1.4Ом @ 29кГц), а высокочастотный резонанс останется на месте:

...

М.б. это всем известно, но для меня низкочастотные "пакости" от бусин были полной неожиданностью. Бусины всегда ассоциируются с высокими частотами, и та же Murata приводит характеристики от 1МГц.

Это известная фича бусин. Вот тут мы обсуждали и выясняли. Антирезонансный пик "лечится" оксидным/танталовым конденсатором большой ёмкости, причём ESR как раз надо чтобы был не очень маленьким - чтобы было на чём энергии рассеиваться. Как раз пара Ом - то, что надо. но обычно у танталов оно поменьше.
Alexashka
Цитата(ims @ Oct 3 2017, 22:56) *
Еще немного картинок rolleyes.gif

На рисунке приведен вариант развязки шин цифрового и аналогового питания (например, для PLL или АЦП):
Нажмите для просмотра прикрепленного файла

Хотел спросить как Вы извлекаете модели конденсаторов? Например у Вас С2=91,2нФ, если брать их кривую импеданса, то на 100кГц выходит Z=16,4 Ом, что соответствует С=97 нФ... далее если исходить из частоты собственного резонанса Fsfr=26,6 МГц и С=97 нФ, то индуктивность получается L=370 пГн (у Вас 277 пГн). Не понятно почему такое расхождение.

Про бусины это действительно давно уже обсуждалось, их фишка (большое активное сопротивление потерь) начинает работать с сотен МГц, а на низких частотах это действительно просто индуктор, со всеми вытекающими. Возможно более лучшим решением будет использовать проходные конденсаторы-фильтры, например серия NFM18/NFM21 той же Murat'ы.

Документик в котором описывается данная проблема Нажмите для просмотра прикрепленного файла
ims
Цитата(Alexashka @ Oct 4 2017, 10:36) *
Хотел спросить как Вы извлекаете модели конденсаторов? Например у Вас С2=91,2нФ, если брать их кривую импеданса, то на 100кГц выходит Z=16,4 Ом, что соответствует С=97 нФ... далее если исходить из частоты собственного резонанса Fsfr=26,6 МГц и С=97 нФ, то индуктивность получается L=370 пГн (у Вас 277 пГн). Не понятно почему такое расхождение.

Про бусины это действительно давно уже обсуждалось, их фишка (большое активное сопротивление потерь) начинает работать с сотен МГц, а на низких частотах это действительно просто индуктор, со всеми вытекающими. Возможно более лучшим решением будет использовать проходные конденсаторы-фильтры, например серия NFM18/NFM21 той же Murat'ы.

Документик в котором описывается данная проблема Нажмите для просмотра прикрепленного файла

91.2нФ из-за DC bias 3.3V. Модели брал из SimSurfing Murat-ы - там можно получить C-L-R Netlist для конкретного смещения по постоянке. Оттуда же и модель BLM.

С бусинами протупил, действительно, все написано. Даже, оказывается, читал когда-то альтеровские аппноты, но не отложилось, что резонансы могут получаться настолько низко. Теперь дошло rolleyes.gif
Alexashka
Цитата(ims @ Oct 4 2017, 13:49) *
91.2нФ из-за DC bias 3.3V. Модели брал из SimSurfing Murat-ы - там можно получить C-L-R Netlist для конкретного смещения по постоянке.

Спасибо за наводку, про Netlist не знал. Получается по моему расчету (индуктивность через частоту резонанса) на резонансе и до 50МГц очень хорошо совпадает с моделью Мюраты (Мюратовская - синий график, моя -зеленый, Ваша модель -красный).
Ваша модель лучше совпадает выше 100 МГц.
В общем модель гораздо более сложная, чем просто RLC цепочка, в ней с десяток конденсаторов, катушек и резисторов. Но как по мне и простая RLC даёт неплохую оценку до 1 ГГц.
ims
Цитата(Alexashka @ Oct 5 2017, 10:37) *
Спасибо за наводку, про Netlist не знал. Получается по моему расчету (индуктивность через частоту резонанса) на резонансе и до 50МГц очень хорошо совпадает с моделью Мюраты (Мюратовская - синий график, моя -зеленый, Ваша модель -красный).
Ваша модель лучше совпадает выше 100 МГц.
В общем модель гораздо более сложная, чем просто RLC цепочка, в ней с десяток конденсаторов, катушек и резисторов. Но как по мне и простая RLC даёт неплохую оценку до 1 ГГц.

Я параметры тоже брал из SimSurfing, только переключил модель с Precise на Simple. Забавно, что в упрощенной модели SRF сдвинута на 5.5МГц, как Вы наглядно показали на графиках.
ims
Цитата(Alexashka @ Sep 25 2017, 10:43) *
Не хотелось бы расжигать холивар, но вопрос не такой однозначный. Или как часто советуют ставить 4-5 конденсатора с шагом 10:1.
Вот например из книги Генри Отта "Электромагнитная совместимость": игра в русскую рулетку?
Нажмите для просмотра прикрепленного файла

Вот прямо противоположные рекомендации Альтеры AN574 (p.16):
Цитата
When selecting the capacitors, choose capacitors with multiple values rather than a large number of capacitors of the same value to meet your target impedance. The impedance peaks in Z-profile are formed by resonance behavior within the power delivery network. High ESR at resonance frequency helps in damping the resonance, thereby reducing the magnitude of the impedance peak. Using a large number of capacitors of the same value significantly reduces the ESR near a capacitor SRF and results in a higher magnitude of nearby impedance peaks. Choosing capacitors with multiple values helps maintain a relative high ESR over a wide frequency range.

Действительно, для параллельного соединения конденсаторов из поста #1 (1мкФ + 4x10нФ) ESR получается большой:
Нажмите для просмотра прикрепленного файла
(синяя линия - |Z|, красная - Re(Z)).

При параллельном соединеним разных конденсаторов в импедансе появился полюс на частоте 35МГц с добротностью 6. Соответственно, симуляция отклика на ступеньку тока 1А при нагрузке 1Ом показывает довольно значительные колебания:
Нажмите для просмотра прикрепленного файла

Почему Альтера считает, что это полезно? Или я что-то не так понял?
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.