Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Документация на System Verilog
Форум разработчиков электроники ELECTRONIX.ru > Программируемая логика ПЛИС (FPGA,CPLD, PLD) > Языки проектирования на ПЛИС (FPGA)
Страницы: 1, 2, 3, 4, 5, 6
DevL
Цитата(des00 @ Aug 5 2010, 07:18) *



если можно, было бы интересно тоже посмотреть книгу, ftp нет ( новичек ) и ссылка уже умерла, спасибо заранее!

и еще - есть ли какой то рейтинг документов по SV ? вроде самих доков и много, но все же ....
CaPpuCcino
Цитата(DevL @ Oct 27 2010, 12:58) *
и еще - есть ли какой то рейтинг документов по SV ? вроде самих доков и много, но все же ....

на форуме пока нет, но свои предпочтения участники периодически высказывают.
если создадите такой специальный опрос, думаю будет полезен он для многих. можно со временем и голосование подключить.
DevL
Цитата(CaPpuCcino @ Oct 28 2010, 09:54) *
на форуме пока нет, но свои предпочтения участники периодически высказывают.
если создадите такой специальный опрос, думаю будет полезен он для многих. можно со временем и голосование подключить.



ok, посыл понял smile.gif

- первым делом надо сделать каталог что имеется - потом можно и на голосование,

надо подумать как собрать найболее список книг по форуму или/и ftp
CaPpuCcino
Цитата(DevL @ Nov 4 2010, 20:27) *
надо подумать как собрать найболее список книг по форуму или/и ftp

ничего централизованно собирать не придётся - открываете топик постите вопрос "назовите 1/2/3 наиболее понравившиеся/полезные/нужное_вставить вам книги по СВ для задач а)моделирования б)верификации в)дизайну"; ждёте 1-2 недели, отбираете, сортируете, выставляете на голосование с открытым пунктом "другая книга(просьба указать)".
наслаждаетесь статистикой и чувством удовлетворения от общественно полезного дела
DevL
недавно увидел книгу - A SystemVerilog Primer
http://www.amazon.com/SystemVerilog-Primer...;sr=8-1-catcorr

может кто листал/купил ее уже?
nikolascha
Наткнулся на книжку по System Verilog на русском языке:
Цитата
Проектирование и верификация цифровых систем на кристаллах. Verilog and System Verilog / В.И. Хаханов, И.В. Хаханова, Е.И. Литвинова, О.А. Гузь. - Харьков: ХНУРЭ. - 2010. - 528 с.
Меня хватило только на необрезанный скан. Может кто захочет почистить и сделать djvu... А может у кого уже есть она в электронном виде, может я зря 2 часа у сканера стоял?...
MKS
У вас там одна из страниц оглавления смазана сильно, 523 кажется. Ну а вообще можно попробовать сделать djvu если её ещё нет в электронном виде.
nikolascha
Цитата(MKS @ Jan 22 2011, 11:43) *
У вас там одна из страниц оглавления смазана сильно, 523 кажется. Ну а вообще можно попробовать сделать djvu если её ещё нет в электронном виде.
Эта страница 524, она затем продублирована. Т.е. файл sv0527 можно удалить.
MKS
Готово, положил в:
Код
/upload/BOOKS/FPGA/проектирование_и_верификация_цифровых_систем_хаханов.djvu    10 Мб
Качество получилось не на высоте. Николашка вы наверно сканировали в 2-х цветном режиме, для качественого djvu рекомендуют 300dpi в градациях серого. Если будете ещё чтонибуть сканировать помните об этом wink.gif . Ну а так что есть то есть. На всякий случай положил книгу на обменник
PII
Всем Привет!!!! Пожалуста помогите разобратся. Раньше програмировал PIC и таких проблем невозникало . А сейчас решил прикрутить LCD к Cyclone 1 и задержки неработают
Может есть другие методы
мне нужно
реализовать передачу данных
пробовал
E=1;
delay(15);
DATA=DD;
delay(15);
E=0;


task delay
////
endtask
Интересует Verylog

always @ (posedge clk)
begin
t=t+1;
if ((t>17)||q)
begin
p=1;
t=0;
end

end
always @ (posedge p)
пробовал делать то что выше но так неудобно
Мне нужна универсальная задержка

Почемуто в разных примерах встречается запись # но в quartus 91 почемуто неработает
Nix_86
Цитата(DevL @ Oct 27 2010, 13:58) *
и ссылка уже умерла, спасибо заранее!

по просьбе трудящихся освежаю ссылку:
IEEE Standard for SystemVerilog - Unified Hardware Design , Specification? and Verification Language 2009

CaPpuCcino
диар олл,

подскажите пожалуйста по приведению типов.
есть следующая комбинация типов:

typedef bit [7:0] byte_type_vt;
typedef bit [31:0] memory_word_vt;

typedef union packed {
byte_type_vt [0:3] byte_view;//ахтунг - реверсивный порядок
memory_word_vt memory_word_view;
}mem_word_ut;

mem_word_ut bit_vec_32;
memory_word_vt a;

вопрос. как будут располагаться биты каждого байта, если смотреть на них как на 32-битное слово, т.е.

a=bit_vec_32.memory_word_view;

вопрос в общем-то кажется простым, но я не могу найти это в стандарте, если кто помнит где это описато, плз, подскажите.
ЗЫ: фишка в том, что как я тут недавно обнаружил, Квеста, начиная с версий позже начала 2010г., имеет на это приведение несколько иной взгляд чем я. те модели что работали норм, на предыдущих версиях больше правильно не работают. вот я и думаю, это из-за нарушения стандарта или из-за неопределенности в нём.

спб
ViKo
Цитата(CaPpuCcino @ Apr 20 2011, 17:18) *
подскажите пожалуйста по приведению типов...

В стандарте не смотрел, но по моим представлениям должно получиться:
byte0-bit7,... byte0-bit0, byte1-bit7, ... byte1-bit0, ... ... byte3-bit0
Mожно посмотреть в книжке "SystemVerilog for Design".
Только вряд ли это называется "приведение типов".
CaPpuCcino
Цитата(ViKo @ Apr 21 2011, 10:01) *
В стандарте не смотрел, но по моим представлениям должно получиться:

вот и по моим тоже, а по представлению ментор графикс в последних версиях квестасима, видимо нет (начиная с версии 6.5е). у них в последних версиях вообще какая-то беда(10.0а я даже копать боюсь - там очевидно косяк в планировщике событий), но об этом в отдельной ветке, когда напишу им очередное послание.
в книжке этого я не видел - там пример с размерностью [3:0] [7:0] (однако, книжка всё-таки не стандарт - на неё не сошлёшься при апелляции ).
ЗЫ: да это наверное не приведение типа, но как точно назвать я не знаю, поэтому не могу сообразить в каком разделе стандарта смотреть
ViKo
Цитата(CaPpuCcino @ Apr 21 2011, 13:34) *
в каком разделе стандарта смотреть

В главе 7. Агрегатные типы данных. Там и картинки есть. Только вникать сложнее.
CaPpuCcino
Цитата(ViKo @ Apr 21 2011, 15:46) *
В главе 7. Агрегатные типы данных. Там и картинки есть. Только вникать сложнее.

ага сразу туда и полез, но как-то не заметил где определено правило паковки многомерных массивов с противоположными типами убегания индекса. если знаете где, ткните, плз, конкретно в страницу
ViKo
В стандарте не смотрел (внимательно). По логике, так, как вы написали - естественный порядок нумерации. Биты нумеруются от старшего к младшему, элементы массива - от младшего к старшему.

Может, картинка из книжки поможет.
CaPpuCcino
Цитата(ViKo @ Apr 21 2011, 16:36) *
Может, картинка из книжки поможет.

спасибо. эту картинку знаю, но ситуация там описанная отличается однообразным убеганием индексов в пакованных размерностях. нужно именно формальное описание из стандарта (как должно быть по логике вещей я знаю)
ViKo
Попробуйте без typedef, просто byte и int.
CaPpuCcino
Цитата(ViKo @ Apr 21 2011, 21:16) *
Попробуйте без typedef, просто byte и int.

на это я пойтить не могу! в реальном проекте иерархия типов намного сложнее (до 5-6 уровней). если б было бы так просто как в примере, я конечно особо не горевал бы.
пока, где нашёл заменил на явное присваивание через циклы. но проект огромный и расставлять костыли везде ток из-за ср....х румын оутсорсеров я не стану. сижу на старой версии Квесты.
ViKo
Близкое к последнему, что здесь обсуждалось.
Обнаружил разногласия между C и SV.
В языке C битовые поля начинаются с младшего (правого) бита слова, и идут к старшим. Например,
Код
struct status_type {
unsigned delta_cts: 1; // bit 0
unsigned delta_dsr: 1;
unsigned tr_edge:   1;
unsigned delta_rec: 1;
unsigned cts:       1;
unsigned dsr:       1;
unsigned ring:      1;
unsigned rec_line:  1; // bit 7
} status;

В языке SV биты в упакованных структурах идут слева направо (от старшего к младшему).
Код
struct packed {
logic        valid; // bit 40
logic [ 7:0] tag; // bit 39..32
logic [31:0] data; // bit 31..0
} data_word;
ysmat
почему не работает знаковое умножение
CODE

module mult2(IN,OUT);

input signed [11:0] IN;
output signed [23:0] OUT;
assign OUT = IN * 12'd1000;

endmodule


в резултате получаеться неправильный выход
знаковый бит теряеться
постоянно положительный результат
Poluektovich
так будет работать
assign OUT = IN * 12'sd1000;
ysmat
да действительно заработало
правда места много занимает

думаю вот попробовать этот алгоритм
будет ли экономия
http://electronix.ru/forum/index.php?act=a...st&id=65881
myq
Цитата(CaPpuCcino @ Apr 21 2011, 23:06) *
на это я пойтить не могу! в реальном проекте иерархия типов намного сложнее (до 5-6 уровней). если б было бы так просто как в примере, я конечно особо не горевал бы.
пока, где нашёл заменил на явное присваивание через циклы. но проект огромный и расставлять костыли везде ток из-за ср....х румын оутсорсеров я не стану. сижу на старой версии Квесты.


А вдруг синтезатор (Квартус, или ещё что) думает об этом не так, как старая Квеста... Я в таких спорных-не-моей-вине ситуациях стараюсь писать так, чтоб понятно всем было. Иначе мало ли что.
Кнкн
Не может ли кто-нибудь поделится файлами примерчиков
от менторовского UVM/OVM cookbook?
Poluektovich
Выложил в upload/CODE
Кнкн
Цитата(Poluektovich @ Dec 2 2012, 11:46) *
Выложил в upload/CODE


Большое спасибо!
Mikhalych
Цитата(Кнкн @ Nov 30 2012, 16:08) *
Не может ли кто-нибудь поделится файлами примерчиков
от менторовского UVM/OVM cookbook?

а можно поподробнее - что за "менторовский UVM/OVM cookbook" и где его достать?
Poluektovich
Рецепты по использованию методологии UVM, доступен после регистрации:
https://verificationacademy.com/cookbook
Кнкн
Цитата(Mikhalych @ Dec 5 2012, 07:13) *
а можно поподробнее - что за "менторовский UVM/OVM cookbook" и где его достать?


/upload/DOC/SystemVerilog
Mikhalych
Цитата(Кнкн @ Dec 5 2012, 14:48) *
/upload/DOC/SystemVerilog

Спасибо! Весьма полезная метОда, датируется 2011 годом... на verificationacademy.com после регистрации мне не удалось найти такой полной компиляции UVM+OVM как эта
NeedHelp
Привет всем! Я начал работать в UVM, но многие вещи, которые  не понимаю, я хотел бы спросить, если у кого-то есть пример создания UVM testbench?
Poluektovich
В дистрибутиве UVM есть хорошие примеры в каталоге examples/integrated.
torik
А есть доступный простой пример использования этого OVM, чтобы можно было понять преимущества и возможности этой фигни?
Жлеательно в виде проекта, с описанием чё нажать чтоб все работало... Для альтеры.
true_pb
я хочу невозможного??? надо из logic [5:0] сделать стринг, чтоб вывести число на экран через uvm_report. пытаюсь привести через str_val = 'string(logic_val), но пишет всякие символы! ткните носом, пожалуйста(
des00
Цитата(true_pb @ Feb 14 2013, 06:36) *
я хочу невозможного??? надо из logic [5:0] сделать стринг, чтоб вывести число на экран через uvm_report. пытаюсь привести через str_val = 'string(logic_val), но пишет всякие символы! ткните носом, пожалуйста(

$psprintf/$sformat ваш выбор
Vadim
Случайно наткнулся на перевод нескольких страниц обзора новых фич в SV-2012. Перевод хороший, можно было бы сказать отличный, если бы не парочка косяков.
https://freelance.ru/download/?id=395680
verali
Здравствуйте! А в каком разделе стандарта SV четко написано, какие операторы являются синтезируемыми, а какие нет?
Poluektovich
В стандарте не описано, но зато есть статья:
http://www.sutherland-hdl.com/papers/2013-...rilog_paper.pdf
lexus.mephi
Подскажите, куда переехала менторовская UVM cookbook? FTP прошарил - нашел только примеры кода от этой книги.
SM
Цитата(lexus.mephi @ Jan 27 2015, 16:18) *
FTP прошарил

pub/DOC/Mentor (если, конечно, про местный FTP речь)
lexus.mephi
Цитата(SM @ Jan 27 2015, 16:26) *
pub/DOC/Mentor (если, конечно, про местный FTP речь)

Спасибо =)))

P.S. Эту книгу неплохо бы еще в /pub/BOOKS/Verilog/SystemVerilog закинуть
Кнкн

Может быть нужно кому-нибудь:
Uvm Cookbook от mentor 13-го года
/upload/DOC/Uvm
lexus.mephi
Есть у кого-нибудь вот такая книга по UVM:

Advanced Verification Topics Paperback – January 3, 2012
by Bishnupriya Bhattacharya (Author), John Decker (Contributor), Gary Hall (Contributor), Nick Heaton (Contributor), Yaron Kashai (Contributor), Neyaz Khan (Contributor), Zeev Kirshenbaum (Contributor), Efrat Shneydor (Contributor)
?

Спасибо!

Fitc
А есть ли у кого книги как писать TLM-модели на systemverilog с использованием/без использования UVM?
des00
Цитата(Fitc @ May 28 2015, 17:20) *
А есть ли у кого книги как писать TLM-модели на systemverilog с использованием/без использования UVM?

Если учесть что UVM вырос из сращивания OVM и VMM, то книги Janick Bergeron "Verification Methodology Manual for SystemVerilog" и Mike Mintz "Hardware Verification with SystemVerilog. An Object-Oriented Framework" должны подойти. Еще, если учесть что эти выросли на основе менторовской AVM, то неплохо прочитать документы от ментора на эту тему. А по самому UVM уже есть два учебника, в том числе один от авторов sm.gif
favalli
Цитата(Fitc @ May 28 2015, 13:20) *
А есть ли у кого книги как писать TLM-модели на systemverilog с использованием/без использования UVM?

На сайте accellera есть юзер гайд и референс по UVM, а на сайте testbench.in есть куча лаб и основ применения.
Ereminem
Не подскажите, где бы можно было приобрести/скачать книгу Verification Methodology Manual for SystemVerilog?
Я так понимаю, для освоения верификации проектов ПЛИС на SV и UVM - это хорошая вещь. Или что другое посоветуете?
x736C
Ereminem, вторая страница гугла
http://vdisk.weibo.com/s/aCH4qrkah4ecZ

Нажать синюю кнопку с пиктограммой скачивания.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2025 Invision Power Services, Inc.