Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Полосовые фильтры в серийных изделиях
Форум разработчиков электроники ELECTRONIX.ru > Аналоговая и цифровая техника, прикладная электроника > Вопросы аналоговой техники
Страницы: 1, 2
smk
Конструктором фильтров очень удобно считать номиналы элементов но нет никаких прогнозов по части устойчивости. В связи с чем вопрос. До какого коэффициента усиления можно проэктировать полосовые фильтры на ОУ для серийных приборов? И вообще хотелось бы узнать опыт изготовления и применения полосовых фильтров....
smk
Собрал на сдвоенном ОУ полосовой фильтр Чебышева 50 Гц. Усиление - 40 Дб. Возбуждается в отсутствие сигнала на частоте 27,5 Гц. Что бы это значило?
AndreyVN
Цитата(smk @ Jan 31 2007, 17:09) *
Собрал на сдвоенном ОУ полосовой фильтр Чебышева 50 Гц. Усиление - 40 Дб. Возбуждается в отсутствие сигнала на частоте 27,5 Гц. Что бы это значило?


У мня был подобный опыт, возбуд в отсутствии сигнала был вызван разбаланс ОУ по постоянному току, один из ОУ был близок к насыщению и помимо этого высвистывал короткие пачки импульсов. После балансировки по постоянке все заработало нормально.
Designer56
Цитата(smk @ Jan 31 2007, 19:09) *
Собрал на сдвоенном ОУ полосовой фильтр Чебышева 50 Гц. Усиление - 40 Дб. Возбуждается в отсутствие сигнала на частоте 27,5 Гц. Что бы это значило?

Фильтры Чебышева отличаются высоеой добротностью полюсов.И высокой чувствительностью к значению коэффициентов передаточной функции поэтому- т. е. высокой чувствительностью к параметрам цепи- номиналу резисторов, конденсаторов,параметрам ОУ.Однако возбждение на 27,5 Гц при расчетной настройке на 50 Гц указывает на грубую ошибку, скорее всего.проверьте всё тщательно.
smk
Цитата
возбждение на 27,5 Гц при расчетной настройке на 50 Гц указывает на грубую ошибку, скорее всего.проверьте всё тщательно.


Который день пытаюсь ее найти. Знать бы хоть где искать и какой она может быть. Хоть характер понять. Генерит при свободном входе. стоит подать хоть что-то с частотой настройки - имеем на выходе тот-же меандр, но с частотой настройки... сил нет больше искать!!! Намекните, у кого есть возможность анализа. Схемы и т.д. и т.п. поприсылаю. протеус моделирует красиво, без закидонов. ОУ менял от 2904 до 2252. все супер красиво на последнем, но не работает на деле. Что делать? Как смакетировать и чему верить?
exSSerge
Цитата(smk @ Feb 1 2007, 00:36) *
Генерит при свободном входе. стоит подать хоть что-то с частотой настройки - имеем на выходе тот-же меандр, но с частотой настройки...

Верить нельзя никому...
Фильтр на двух ОУ, или два фильтра последовательно? Схемку бы с номиналами...

А если вход закоротить?

Не может ли быть причиной возбуда проникновение сигнала из одного операционника в другой?
Оно хоть и небольшое, но есть, и при большом общем усилении и высокой добротности полюсов этого может хватить.
Можно попробовать для проверки поменять местами ОУ или включить два ОУ из разных корпусов.
Designer56
Цитата(exSSerge @ Feb 1 2007, 02:35) *
Цитата(smk @ Feb 1 2007, 00:36) *

Генерит при свободном входе. стоит подать хоть что-то с частотой настройки - имеем на выходе тот-же меандр, но с частотой настройки...

Верить нельзя никому...
Фильтр на двух ОУ, или два фильтра последовательно? Схемку бы с номиналами...

А если вход закоротить?

Не может ли быть причиной возбуда проникновение сигнала из одного операционника в другой?
Оно хоть и небольшое, но есть, и при большом общем усилении и высокой добротности полюсов этого может хватить.
Можно попробовать для проверки поменять местами ОУ или включить два ОУ из разных корпусов.

Навели меня на мысль. Причина может быть в тепловой обратной связи выходного каскада ОУ на его вход- как раз в этом случае имеют место большие постоянные времени. Нужно проверить, не сильно ли нагружен выход? Может, это вообще токовая защита в совоокупности с частотно- зависимыми элементами ОС фильтра.
smk
При закороченном входе не генерирует. Выложил схемку, может кто подскажет в чем я ошибся.
Designer56
Цитата(smk @ Feb 2 2007, 13:34) *
При закороченном входе не генерирует. Выложил схемку, может кто подскажет в чем я ошибся.

Причина кроется, по всей видимости, в организации искуственной средней точки-R11,R12,C5,C6. Для нормальной работы необходимо сделать эту цепь низкоомной по пост. току- организовать её, например, из 2х стабиоитронов.
smk
Цитата
необходимо сделать эту цепь низкоомной по пост. току- организовать её, например, из 2х стабиоитронов.


Наверно прийдется делать ее из двух аккумуляторов, т.к. очень жесткое ограничение по потребляемому току. Я пробовал ставить по 1М в другой схемке, так действительно приводило к ложным всплескам и гулял тот ноль хорошо.
smk
Цитата
прийдется делать ее из двух аккумуляторов


Сделал. Не помогло. ОУ TL062. При закороченном входе генерации нет. При свободном есть. Разъединил фильтры. Каждый генерит меандр с периодом 44 мСек. (22,7 Гц, раньше дал ошибочное значение по памяти). Что делать?

Цитата
Можно попробовать для проверки поменять местами ОУ или включить два ОУ из разных корпусов.


Каждый из фильтров генерирует сам по себе. Закоротишь вход последовательно соединенных - нет генерации. Разъединил. Закоротил вход каждого. Нет генерации. Дальше какой раскороти - тот и генерирует.
AndreyVN
Цитата(Designer56 @ Feb 2 2007, 11:58) *
Причина кроется, по всей видимости, в организации искуственной средней точки-R11,R12,C5,C6. Для нормальной работы необходимо сделать эту цепь низкоомной по пост. току- организовать её, например, из 2х стабиоитронов.


1) Я тоже думаю ПОС возникает по цепи виртуальной земли. Если существует ограничение по току потребления, я бы поставил высокоомный делитель и повторитель напряжения на ОУ, выход которого "питает" виртуальную землю, токи там небольшие, можно ставить практически любой ОУ.

2) TL062 с полевиками на входе, жалко уменьшать входное сопротивление схемы, тем не менее, я бы уменьшил резистор 9.1 М на входе ОУ хотя бы до 1 М.
smk
Цитата
ПОС возникает по цепи виртуальной земли


Подключал и невиртуальным способом. Ничего не изменилось. У второго каскада при закороченном входе на выходе красивая синусоида 50 Гц амплитудой 50 мВ. Но у второго К (усиления) больше как я помню. Кажись Дб на 10, но входное сопротивление меньше как раз чтоб наводок меньше бояться. Все-таки средняя частота 50 Гц.

Цитата
уменьшил резистор 9.1 М на входе ОУ хотя бы до 1 М.

Попробую пересчитать фильтр. Входное сопротивление вобще-то важно. А у вас случалось иметь дело с подобными фильтрами? Какое усиление было?
Designer56
Цитата(smk @ Feb 2 2007, 19:35) *
Цитата
ПОС возникает по цепи виртуальной земли


Подключал и невиртуальным способом. Ничего не изменилось. У второго каскада при закороченном входе на выходе красивая синусоида 50 Гц амплитудой 50 мВ. Но у второго К (усиления) больше как я помню. Кажись Дб на 10, но входное сопротивление меньше как раз чтоб наводок меньше бояться. Все-таки средняя частота 50 Гц.

Цитата
уменьшил резистор 9.1 М на входе ОУ хотя бы до 1 М.

Попробую пересчитать фильтр. Входное сопротивление вобще-то важно. А у вас случалось иметь дело с подобными фильтрами? Какое усиление было?

Тут ещё одна неприятность может крыться- выход нагружен на выпрямитель непосредственно. Во время отрицательной полуволны на выходе фильтра выходной ОУ нагружен на последовательно включенные конденсатор 0,1 мкФ и диод- может вызывать перегрузки.
Входное сопротивление действительно большое- возможны простыке наводки сетевой частоты.
smk
Цитата
возможны простыке наводки сетевой частоты.


Да, похоже что наводки и есть, но тогда непонятно почему возбуждается на частоте не сетевой и не кратной ей. Биений тоже не вижу.

Нагрузку отключил - изменений не произошло.
Designer56
Цитата(smk @ Feb 2 2007, 21:57) *
Цитата
возможны простыке наводки сетевой частоты.


Да, похоже что наводки и есть, но тогда непонятно почему возбуждается на частоте не сетевой и не кратной ей. Биений тоже не вижу.

Нагрузку отключил - изменений не произошло.

Возможно, это 50Гц, обрезанная выпрямителем и отфильтрованная естественным образом- за счет низкого быстродействия ОУ.И плюс паразитная ОС через вирт. землю.
smk
Цитата
Возможно, это 50Гц, обрезанная выпрямителем и отфильтрованная естественным образом- за счет низкого быстродействия ОУ.И плюс паразитная ОС через вирт. землю.


Меандр имеется на выходе каждого ОУ. Питание - аккумулятор. Пробовал и батарейки, чтоб среднюю точку вполне реальную сделать. Не помогает. Может действительно слишком чувствитеный фильтр. Хотя что такое 100 раз? Не так чтоб много.
Designer56
100 раз это много. Электростатические наводки "из воздуха" могут достигать сотен вольт.попробуйте подсоединить ко взоду осциллографа голый провод- там как раз 1 мОм вх. сопротивления обычно.
Vladimir201
Ваш фильтр устойчив пока выходное сопротивление источника =0. Собственно, из такого идеального источника исходит расчет фильтра. При бесконечном сопротивлении источника (холостой ход по входу), у Вас получается усилитель с некомпесировнной положительной обратной связью, т.е. генератор. Пример - при отключении R6 от выхода ОУ1, ОУ2, до того устойчивый, начинает генерить.
Частоты генерации отличаются примерно в 1.4 раза.
Лечение - на входе повторитель напряжения или (при надобности) усилитель. С точки зрения устойчивости лучшим является инвертирующий усилитель. Выбор зависит от применения фильтра.
И еще. С5 ухудшает фильтрацию по питанию.
SasaTheProgrammer
Цитата(smk @ Feb 2 2007, 10:34) *
При закороченном входе не генерирует. Выложил схемку, может кто подскажет в чем я ошибся.

Вот именно в этом. Если посмотреть на схему, то очевидно, что в частотнозависимую цепь ПОС (которая, собственно, и делает эту схему фильтром) входит ещё и выходное сопротивление источника сигнала, которое при рассчёте принимается достаточно (пренебрежимо) малым. А тут оно вдруг smile.gif оказывается бесконечным. Это уже другая схема, родственная скорее ГНЧ с мостом Вина...
smk
Цитата
Лечение - на входе повторитель напряжения или (при надобности) усилитель. С точки зрения устойчивости лучшим является инвертирующий усилитель. Выбор зависит от применения фильтра.


Цитата
при рассчёте принимается достаточно (пренебрежимо) малым. А тут оно вдруг оказывается бесконечным


Гениально. Сегодня же и воплощу в жизнь.
smk
Заработало. Приемлемо. Только не вполне ясен один эффект. Это не мешает, но всеж. При увеличении входного сигнала до определенного уровня происходит резкое увеличение выходного до максимума. Подозреваю биения с наводками. А так все ОК. Достойно пива.
=AK=
Чтобы не болела голова по поводу виртуальной земли, можно "земляные" концы С1 и С4 отсоединить от виртуальной земли, и соединить с настоящей землей. Тогда номиналы R11, R12 можно даже увеличить, чтобы не расходовать зря питание, т.к. виртуальная земля окажется "нагруженной" только мегомными резюками R2, R5, R7, R8, а им низкий DC импеданс виртуальной земли без надобности.

Согласен с ув. Vladimir201, кондер С5 лучше бы совсем убрать, тогда виртуальная земля по переменке будет привязана только к настоящей земле, а помехи и провалы в питаниии станут меньше влиять на вирт. землю - они будут зафильтрованы кондером С6 большой емкости. Это уменьшит вероятность возбудов по питанию.

Для повышения стабильности работы ОУ рекомендуется R4 и R9 зашунтировать небольшими керамическими кондерами, скажем, хотя бы пик по 30.

Цитата(smk @ Feb 3 2007, 20:35) *
При увеличении входного сигнала до определенного уровня происходит резкое увеличение выходного до максимума.

Это может быть связано с тем, что нагрузка второго ОУ сильно нелинейная. После какого-то порога начинаются процессы зарядки С8, это может слега "просадить" питание, что заставит дернуться виртуальную землю, и т.п. Проанализировать трудно, т.к. многое зависит от схемотехники вых. каскадов ОУ. Чтобы по ночам спать спокойно, лучше бы включить последовательно с С8 резистор, например, 1к или более
smk
Цитата
кондер С5 лучше совсем убрать


убрал.

Цитата
концы С1 и С4 отсоединить от виртуальной земли, и соединить с настоящей землей. Тогда номиналы R11, R12 можно даже увеличить, чтобы не расходовать зря питание,


Спасибо. Дельное предложение. Уже сделал, все отлично. Только все равно в диапазоне 1/2 ближе к верхнему значению практически невозможно получить выходное напряжение промежуточного значения. либо 1/2 от максимума, либо максимум.
smk
Цитата
включить последовательно с С8 резистор, например, 1к или более


Да, определенная тенденция есть. Но не лекарство. Пробовал от 2к до 15к.
Designer56
Вообще-то, по моим расчетам каскады фильтра обладают устойчивостью и при "висячем" входе.Запас по фазе получается около 90 гр.все-таки, что-то есть ещё, чего мы не видим.
smk
Цитата
что-то есть ещё, чего мы не видим.


Так и хочется списать все на "грязный эфир" в месте измерения.
Designer56
Цитата(smk @ Feb 3 2007, 21:24) *
Цитата
что-то есть ещё, чего мы не видим.


Так и хочется списать все на "грязный эфир" в месте измерения.

Может быть, и плохое заземление измерительных приборов, и грязный фидер 220в.Но все-таки, я думаю, что схема не полностью отражает реальность.Я такие филтры делал, такой же конфигурации, правда, источник сигнала был с малым вых. сопротивлением.Все было в порядке сразу.Одна интересная вещь: Если при моделировании pSpice -ом переставить инв. и неинв. входа ОУ местами, и запустить анализ по переменному току, то АЧХ получается такой же, как при правильном включении. Посмотрите, может ларчик просто открывается?
smk
Цитата
Если при моделировании pSpice -ом переставить инв. и неинв. входа ОУ местами, и запустить анализ по переменному току, то АЧХ получается такой же, как при правильном включении. Посмотрите, может ларчик просто открывается?


Хм... может и так. Только трудновато это будет, но попробую. В первом или во втором?
Designer56
Цитата(smk @ Feb 3 2007, 22:19) *
Хм... может и так. Только трудновато это будет, но попробую. В первом или во втором?

Я о том, что проверьте, может у Вас в сборке ошибка?
smk
Проверил и сверил с даташит. Ошибок нет. Может и по монтажу подзванивается, но не очень-то верится. Должно же быть какое-то объяснение.
Designer56
Значит, все-таки, схема не полна- что-то не показано, что есть в неявном виде.Вы попробовали сделать вирт. землю через выход повторителя? К этому плюс поставьте повторитель на входе- как раз ещё один корпус ОУ.И кстати, электролитические конденсаторы надо брать танталовые или ниобиевые- у алюминиевых очень большая утечка может быть при емкостях 100 мкФ.
=AK=
Вещь общеизвестная, но на всякий случай... На схеме не показаны кондеры в цепи питания. После того, как С5 убран, линия питания оказалась без емкостей - и это при том, что питание берется от батарейки, как я понял. Между питанием и землей должен висеть электролит микрофарад в 100, а также - параллельно ему - керамический кондер 0.1 мкФ.

"Скачкообразное" увеличение вых. амплитуды при превышении какого=то порога скорее всего говорит о заметной нелинейности ОУ. Если выше какого-то порога его Ку возрастает, или у него включаются в работу дополнительные выходные каскады, или же он начинает подкручивать фазу, и т.д., то при работе в составе высокодобротного фильтра это может давать странные эффекты. Такие "фокусы" я бы в первую очередь ожидал от ОУ с малым потреблением по питанию (каковым является TLC2252), поскольку их разработчики вынуждены ухищряться. Попробуйте поставить старые "дубовые" ОУ со сравнительно простой внутренней схемотехникой, например, что-то типа uA741 и т.п.
Designer56
Честно говоря,я вообще недолюбливаю ОУ фирмы TI (не путать с Burr-Brown, которая не так давно влилась в TI)
Vladimir201
Согласен с =AK=(№33). Типичное возбуждение из-за связи по питанию. Проверьте качество С6. Стоит 0.1мкФ параллельно С6.
Возможная причина - некорректная разводка платы в части виртуальной земли, места подачи входного сигнала или неудачное расположение блокировочных элементов. Если есть PCB - покажите.
Посмотрите, не появляются ли на сигнале при увеличении вхожного уровня какие-нибудь "неправильности" перед возбуждением.
smk
Привожу последний вариант собранного. Чего нет там - того нет и в реальности. На счет экономичных ОУ. Как раз соль в том, чтоб потребление было минимальным. Хочу попробовать еще из широкого применения LMV324. Есть конечно и более экономичные, но дорогие уж очень. Причем у изготовителя стоит 0,70$ (а есть и 0,28$), а у поставщика 4$. Есть идея ставить ОУ с Shutdown. Только не разобрался какие из них сколько стоят.

Оставшийся ОУ нужно использовать под виртуальную землю. Кое что смотрел на эту тему. Есть ли заведомо надежное решение чтоб не терять время? Кто-нибудь что-то подобное делал с такими фильтрами? Да, а какие ОУ в фильтрах работали?

Разводки платы нет, но надеюсь разведу удачно. Плотность монтажа будет большой. Габарит платы 32 мм х 35 мм.
Designer56
А куда подключен выход U2:B?
smk
Цитата
А куда подключен выход U2:B?


Вот так и подключен. На нем (как тут Вами и говорилось) хорошо бы землю виртуальную изготовить.
=AK=
Непонятно - почему U1:A включен по схеме инвертирующего усилителя? Я бы включил его как неинвертирующий буфер: вход (-) соединен с выходом, резистор R15 соединен между входом (+) и вирт. землей, R13 не нужен.

На всякий случай напоминаю, что керамический кодер С12 желательно располагать как можно ближе к ножкам питания ОУ.

То, что на схеме обозначен TL062, который не работает при 3.6В питания, это, наверное, опечатка? Когда я советовал попробовать uA741, чтобы понять, не из-за операционника ли вых. напряжение меняется скачком (а TL062 для целей такой проверки тоже подойдет, имхо), то я подразумевал, что на время проверки питания будет хотя бы вольт 9 (батарейка "Крона").
Designer56
TL062 при 9В питания может и не заработать- от экземпляра зависит.
А вообще я для этих подобных давно использую серию 1446УД, там есть и микропотребляющие, и более быстродействующие ( потребляют поболее, соответственно),есть с питанием от 2,5В до 7В макс.- как раз для такого случая,есть с питанием от 3 до 12В. А самое главное, они по- настоящему "rail-to-rail" и по входу, и по выходу. Вых. каскад- двухтактный, КМОП.
smk
Цитата
То, что на схеме обозначен TL062, который не работает при 3.6В питания, это, наверное, опечатка? Когда я советовал попробовать uA741, чтобы понять, не из-за операционника ли вых. напряжение меняется скачком (а TL062 для целей такой проверки тоже подойдет, имхо), то я подразумевал, что на время проверки питания будет хотя бы вольт 9 (батарейка "Крона").


При питании 9,5 В и TL062 скачкообразное изменение выходного напряжения прекратилось. Все точно соответствует рассчетному. Аж приятно.

Цитата
А вообще я для этих подобных давно использую серию 1446УД,


Да, серия привлекательная. А насколько можно "доверять" им? Как с повторяемостью и самих ОУ и изделий?
smk
Цитата
Непонятно - почему U1:A включен по схеме инвертирующего усилителя?


Я пробовал. Вход операционника (затвор полевика) получается фактически "висящим", что не есть хорошо. На практике это выражается в неимоверной чувствительности к полям вплоть до самовозбуждения на частоте полосы пропускания. Причем эта гиперчувствительность носит какой-то странный характер. То выход ноль, когда должен быть не ноль, то наоборот. Непредсказуемо как-то.
=AK=
Цитата(smk @ Feb 4 2007, 20:23) *
Вход операционника (затвор полевика) получается фактически "висящим", что не есть хорошо. На практике это выражается в неимоверной чувствительности к полям вплоть до самовозбуждения на частоте полосы пропускания.

Я бы такой вот входной буфер поставил. Кондер С1 давит наводимые радиопомехи.

Нажмите для просмотра прикрепленного файла
smk
Согласен. Постоянная времени может быть и побольше.
Designer56
Цитата(smk @ Feb 4 2007, 15:31) *
Цитата
А вообще я для этих подобных давно использую серию 1446УД,


Да, серия привлекательная. А насколько можно "доверять" им? Как с повторяемостью и самих ОУ и изделий?

Я уже лет 5 их применяю в разработках приборов, которые серийно выпускаются заводом нашего объединения.Проблем нет.Приборы,между прочим, сертифицированы как средства измерения и внесены в Госреестр СИ. Правда, поставки-прямые, из Зеленограда,через их представительство, указанное в файле. Как всегда-нужно правильно вибирать режимы эксплуатации в соответствии с документацией изготовителя.
smk
Смотрю даташит на TL062. Так получается, что она с 2 В вполне работоспособна. Вывод сделан из Figure 4 и Figure 10.

Цитата
Как всегда-нужно правильно вибирать режимы эксплуатации в соответствии с документацией изготовителя.

Что верно - то верно. Надеюсь сегодня познакомиться физически с Вашими протеже (1446). Если что - к вечеру будет результат.

А с кого они "срисованы"? Чет никак не соображу... Или разработаны? (не очень-то верю я этой братии)
Designer56
Цитата(smk @ Feb 4 2007, 16:58) *
Смотрю даташит на TL062. Так получается, что она с 2 В вполне работоспособна. Вывод сделан из Figure 4 и Figure 10.
Да, но размах напряжения на выходе меньше питания- я это имел ввиду.По входу тоже самое, но у вас на входе заведомо меньшее напряжение- усиление большое у схемы.



А с кого они "срисованы"? Чет никак не соображу... Или разработаны? (не очень-то верю я этой братии)

Сходите на www.angstrem.ru, вроде бы собственная разработка...а чего не верите? например, очень хороший для своего времени 544УД2 тоже собственная разработка Новосибирского ОКБ при НЗПП, имеет только функциональный аналог.
smk
Цитата
а чего не верите?

Да приходилось общаться с одними изготовителями-разработчиками. И вообще не секрет, что подавляющее большинство отечественных серийных микросхем - плохие копии западных. Не верите? Посмотрите справочник. Найдете и 544УД2. Кажись LM258...
Designer56
Нет, это не так это все..Справочники- особенно современные- врут частенько. Мне приходилось в советские времена много работать с разработчиками элементов, они выполняли наши заказы,но не только наши, разумеется. Многих из них я лично знаю. Это долгий разговор, но "содрать" конструкцию невозможно- приходится заново делать, даже аналог.Кстати, схему в секрете и не держат. А вот транзисторы приходится разрабатывать самим. Под технологию. И соответственно,схему и топологию дорабатывать.Можете мне поверить- пришлось в этом малость разобраться. А LM258- это ОУ типа LM358, "однополярный", коммерческого диапазона температур- от -40 до +50, совсем другого типа, нежели 544УД2. справочники, и не только наши, западные тоже, часто указывают примерный функциональный аналог, но в данном случае- это бред. И не очень-то верьте информации, опубликованной в сети.
smk
Цитата
но "содрать" конструкцию невозможно- приходится заново делать, даже аналог.Кстати, схему в секрете и не держат. А вот транзисторы приходится разрабатывать самим. Под технологию. И соответственно,схему и топологию дорабатывать


Да. Так и есть. Как я себе представляю, то в былые времена никто не озадачивался разработкой, а цель намечена была "догнать и перегнать". Так вот, из моего личного опыта. Конкурентная война привела к тому, что оформляется патент на топологию микросхем. Не на схему или свойства, а на топологию. Вот ее и слизывали. Потом неаккуратно проявили, между девочкой и КПСС изготовили матрицы, опять хрен знает какой точности. Дальше все это пропихивается (головы то всем свои жалко) ну и имеем...
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.