Кто нибудь может поделиться информацией по Воронежским ПЛИСам. Хотябы цоколевки и соответствие выводов альтеровским аналогам?
Спасибо, но эта информация у меня есть, нашел и на ХС1Т на форуме, но только непонятно как пины соотносить с FLEX. В квартусе привязка идет к номеру ножки флекса и как привязывать проект к нашим микросхемам не понятно.
Lmx2315
Sep 5 2014, 18:18
QUOTE (seldim @ Sep 5 2014, 20:19)

Спасибо, но эта информация у меня есть, нашел и на ХС1Т на форуме, но только непонятно как пины соотносить с FLEX. В квартусе привязка идет к номеру ножки флекса и как привязывать проект к нашим микросхемам не понятно.
для XC4T.
Огромное спасибо. Завод вообще не хочет общаться. Кто нибудь может поделиться такой же информацией информацией на ХС1Т.
dmitry-tomsk
Sep 9 2014, 15:47
А где-можно под эту плис взять корку pci контроллера?
Lmx2315
Sep 9 2014, 18:51
QUOTE (dmitry-tomsk @ Sep 9 2014, 19:47)

А где-можно под эту плис взять корку pci контроллера?
..на opencores.com ,надо думать.
Цитата(dmitry-tomsk @ Sep 9 2014, 19:47)

А где-можно под эту плис взять корку pci контроллера?
PLDA. Должна быть в закромах...
dmitry-tomsk
Sep 10 2014, 06:53
Цитата(Yura_V @ Sep 10 2014, 00:50)

PLDA. Должна быть в закромах...
Спасибо!
5576XC4T. Подскажите пожалуйста, куда подключать выводы TEST_MODE, RES_MODE, RES.
Схему надо делать сейчас, а закупка чего-либо будет в другом этапе.
В альтеровском аналоге таких входов не увидел.
seldim
Oct 29 2014, 05:53
Нужна помощь. Пытаюсь создать однопортовую память на VHDL в квартусе 9 версии для аналога ПЛИС 5576ХС1Т FLEX10K50RI. Изначально проект был под циклон с 2-х портовой памятью и в флексе он не прижился, переделал под однопортовую, Но квартус упорно не хочет использовать память, а компилирует на тригерах. В мегавизарде память создает нормально и использует блоки памяти, а из VHDL никак. Память описывал из квартусовского примера. Кто знает как заставить квартус использовать блоки памяти?
Код
-- Quartus II VHDL Template
-- Single port RAM with single read/write address
library ieee;
use ieee.std_logic_1164.all;
entity single_port_ram is
port
(
clk : in std_logic;
addr : in natural range 0 to 31;
data : in std_logic_vector(7 downto 0);
we : in std_logic := '1';
q : out std_logic_vector(7 downto 0)
);
end entity;
architecture rtl of single_port_ram is
-- Build a 2-D array type for the RAM
subtype word_t is std_logic_vector(7 downto 0);
type memory_t is array(256 downto 0) of word_t;
-- Declare the RAM signal.
signal ram : memory_t;
-- Register to hold the address
signal addr_reg : natural range 0 to 256;
begin
process(clk, we)
begin
if(rising_edge(clk)) then
if(we = '1') then
ram(addr) <= data;
end if;
addr_reg <= addr;
end if;
end process;
q <= ram(addr_reg);
end rtl;
На сколько я помню, во флексах блоки памяти нативно двухпортовые, так что Вам надо иметь два адреса - адрес для порта записи, и адрес для порта чтения. При этом, кстати, адрес для чтения вовсе не обязательно заносить в регистр, так как блоки памяти там асинхронные, а регистры опциональные, и, вроде как, там регистр на адреса один, то есть, или на запись, или на чтение.
и укажите атрибут этой памяти, что она должна быть размещена в EAB (атрибут ramstyle, значение "EAB")
UPD:
Еще, пошукайте по логам на предмет нечто вроде "uninferred due to unsupported read-during-write behavior"
UPD2:
Стоит еще попробовать вместо q <= ram(addr_reg); поставить q <= ram(addr); в связи с нативной асинхронностью блоков.
UPD3:
Вот еще конструкции, которые стоит попробовать, на тему вариаций по read-during-write behavior:
1)
Код
process(clk, we)
begin
if(rising_edge(clk)) then
if(we = '1') then
ram(addr) <= data;
end if;
q <= ram(addr);
end if;
end process;
2)
Код
process(clk, we)
begin
if(rising_edge(clk)) then
if(we = '1') then
ram(addr) <= data;
q <= data;
else
q <= ram(addr);
end if;
end if;
end process;
3) я не знаю, как это на VHDL написать (именно блокирующее "=", а не "<="), сорри, я в основном читатель на VHDL:
always @ (posedge clk) begin
if (we) ram[wr_addr] = d;
q = ram[rd_addr];
end
Lmx2315
Oct 29 2014, 08:33
QUOTE (Серый @ Oct 17 2014, 14:05)

5576XC4T. Подскажите пожалуйста, куда подключать выводы TEST_MODE, RES_MODE, RES.
Схему надо делать сейчас, а закупка чего-либо будет в другом этапе.
В альтеровском аналоге таких входов не увидел.
..это служебные входы, предусмотрите на них подтяжки и к нулю и к единице, на всякий случай и всё.
Maverick
Oct 29 2014, 08:34
Цитата(seldim @ Oct 29 2014, 07:53)

Нужна помощь. Пытаюсь создать однопортовую память на VHDL в квартусе 9 версии для аналога ПЛИС 5576ХС1Т FLEX10K50RI. Изначально проект был под циклон с 2-х портовой памятью и в флексе он не прижился, переделал под однопортовую, Но квартус упорно не хочет использовать память, а компилирует на тригерах. В мегавизарде память создает нормально и использует блоки памяти, а из VHDL никак. Память описывал из квартусовского примера. Кто знает как заставить квартус использовать блоки памяти?
Код
-- Quartus II VHDL Template
-- Single port RAM with single read/write address
library ieee;
use ieee.std_logic_1164.all;
entity single_port_ram is
port
(
clk : in std_logic;
addr : in natural range 0 to 31;
data : in std_logic_vector(7 downto 0);
we : in std_logic := '1';
q : out std_logic_vector(7 downto 0)
);
end entity;
architecture rtl of single_port_ram is
-- Build a 2-D array type for the RAM
subtype word_t is std_logic_vector(7 downto 0);
type memory_t is array(256 downto 0) of word_t;
-- Declare the RAM signal.
signal ram : memory_t;
-- Register to hold the address
signal addr_reg : natural range 0 to 256;
begin
process(clk, we)
begin
if(rising_edge(clk)) then
if(we = '1') then
ram(addr) <= data;
end if;
addr_reg <= addr;
end if;
end process;
q <= ram(addr_reg);
end rtl;
ниже пример двупортовой памяти (то что было под рукой), там имеются атрибуты (attribute) которые у Вас тоже должны быть. Как раз с помощью этих атрибутов можно задать, где будет размещаться память: блочная память, регистровая логика и т.д.
Код
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use std.textio.all;
entity memA is
generic
(
DATA_WIDTH : natural := 4;
ADDR_WIDTH : natural := 13
);
port
(
clk_a : in std_logic;
clk_b : in std_logic;
addr_a : in std_logic_vector((ADDR_WIDTH-1) downto 0);
addr_b : in std_logic_vector((ADDR_WIDTH-1) downto 0);
data_a : in std_logic_vector((DATA_WIDTH-1) downto 0);
data_b : in std_logic_vector((DATA_WIDTH-1) downto 0);
we_a : in std_logic := '1';
we_b : in std_logic := '1';
q_a : out std_logic_vector((DATA_WIDTH -1) downto 0);
q_b : out std_logic_vector((DATA_WIDTH -1) downto 0)
);
end memA;
architecture Behavioral of memA is
-- Build a 2-D array type for the RAM
subtype word_t is std_logic_vector((DATA_WIDTH-1) downto 0);
type memory_t is array(2**ADDR_WIDTH-1 downto 0) of word_t;
shared variable ram : memory_t:= (others => (others => '0'));
-- signal ram : memory_t :=
--(
-- to initialize memory
-- );
signal mem_data : std_logic_vector (14 downto 0);
attribute ramstyle : string;
attribute ramstyle of ram : variable is "M9K, no_rw_check";
begin
-- Port A
process(clk_a)
begin
if(rising_edge(clk_a)) then
if(we_a = '1') then
ram(conv_integer(addr_a)) := data_a;
end if;
q_a <= ram(conv_integer(addr_a));
end if;
end process;
-- Port B
process(clk_b)
begin
if(rising_edge(clk_b)) then
if(we_b = '1') then
ram(conv_integer(addr_b)) := data_b;
end if;
q_b <= ram(conv_integer(addr_b));
end if;
end process;
end Behavioral;
уточните:
- какая у Вас память на 9 килобит или 4 килобит или другая...
- возможно для Вашего ПО эти атрибуты пишутся иначе...
PS Приведенное описание работает в квартусе
Это работает в 5576ХС4Т
CODE
library ieee;
use ieee.std_logic_1164.all;
entity analyzer_mem is
generic (
DATA_WIDTH : natural := 8;
ADDR_WIDTH : natural := 6
);
port (
clk : in std_logic;
wr_addr : in natural range 0 to 2**ADDR_WIDTH - 1;
rd_addr : in natural range 0 to 2**ADDR_WIDTH - 1;
data_i : in std_logic_vector((DATA_WIDTH-1) downto 0);
we : in std_logic := '1';
q : out std_logic_vector((DATA_WIDTH -1) downto 0)
);
end analyzer_mem;
architecture rtl of analyzer_mem is
-- Build a 2-D array type for the RAM
subtype word_t is std_logic_vector((DATA_WIDTH-1) downto 0);
type memory_t is array(2**ADDR_WIDTH-1 downto 0) of word_t;
-- Declare the RAM
shared variable ram : memory_t;
begin
process(clk, rd_addr)
begin
if(rising_edge(clk)) then
if(we = '1') then
ram(wr_addr) := data_i;
end if;
end if;
q <= ram(rd_addr);
end process;
end rtl;
5576 хорошие ПЛИС. Только ГК56 для них не родной, лучше ГК154.
AndreiUS
Nov 20 2014, 07:55
А существуют ли отладочные платы для воронежских ПЛИС? Может кто-то уже покупал/интересовался данным вопросом?
Spinorog
Apr 27 2015, 14:21
Цитата(AndreiUS @ Nov 20 2014, 11:55)

А существуют ли отладочные платы для воронежских ПЛИС? Может кто-то уже покупал/интересовался данным вопросом?
Да, существуют!
DSIoffe
May 14 2015, 11:39
Здравствуйте все!
Скажите, пожалуйста, надо ли как-то закреплять корпус 5576ХС4Т, помимо пайки выводов? Клеить, например? Корпус большой, а ноги тонкие и, говорят, мягкие.
Spinorog
May 25 2015, 11:39
Цитата(DSIoffe @ May 14 2015, 15:39)

Здравствуйте все!
Скажите, пожалуйста, надо ли как-то закреплять корпус 5576ХС4Т, помимо пайки выводов? Клеить, например? Корпус большой, а ноги тонкие и, говорят, мягкие.
Советую почитать ТУ на этот предмет.
DSIoffe
May 25 2015, 11:48
Спасибо за совет. Мне бы ответ.
Lmx2315
May 25 2015, 11:51
..у нас паяют так, без клея.
Правда серьёзно ничего не трясли, а сами по себе они не отрываются.
Spinorog
May 26 2015, 11:29
Цитата(DSIoffe @ May 25 2015, 15:48)

Спасибо за совет. Мне бы ответ.
Собственно ответ на ваш вопрос в ТУ. Цитировать не имею к сожалению права.
DSIoffe
May 26 2015, 11:43
У меня есть ТУ. Я его с Вашей подачи даже перечитал. Может, в личке ткнёте носом? Буду очень признателеню
Здраствуйте! У кого нибудь есть схема отладочного комплекта на 5576хс1т?
bugdesigner
Jul 2 2015, 06:25
Кто мне может объяснить такой момент: как эта ПЛИС может считаться отечечтвенной разработкой, если он разработана Альтерой, производится на оборудовании, купленной у США? То есть, мы купили техпроцесс, оборудование и шлепаем кристаллы. Единственное, что я заметил - это совковый корпус. Софт для разработки тоже взят у потенциального противника. Ладно, он был бы еще open source. А так за него еще и деньги нужно платить все тем же потенциальным врагам. Очередная профанация.
PS: Да еще один приятный момент - FLEX-ы сняты с производства уже около 10 лет назад. Мало того, что купили у "заклятых друзей", так еще и хлам.
to Bugdesigner:
1)Данная всетаки воронежская ПЛИС имеет специальную приемку(те ПЛИС не коммерческая). Для этого и топология кристалла проектирована заново. Помоему такой ПЛИС у Alterы не было вообще с военной приемкой.
2)Софт и технология - вон китай дерет что хочет и только в прибыли(скоро обгонит США). Нам еще учиться так копировать.
3)Военные микросхемы могут производиться по 30-40 лет если стоят в нужном приборе. Когда Электроника лопнула - все микросхемы 74 серии воронежские с 5 приемкой купили типа французы на сотни миллионов $.
Никакого техпроцесса и лицензий никто не покупал. Я процессоры TI 10 и 25 когдато там делал - они до сих пор выпускаются серийно. Зато вот в СССР все было советское оборудование(тоже отставали на 10 лет минимум). Нам просто не продавали по поправке Джексона. А сейчас микроэлектронику в России практически не финансируют даже для спецвещей. Денег никто из правительства не выделяет(а скажем в Южной Корее прямо по госплану, купить новую литографию 0.0000....микрон для Samsung).
Вы сами чтото сделайте, напишите новую архитектуру ПЛИС и софт(лучше действительно open source

), предложите НИИЭту.
Мы потом придем и возьмем на себя самое сложное - покритикуем.
Здравствуйте! Кто работал с 5576xc1, поясните, можно ли к ней подключать генератор с выходным напряжением 5В? Планирую ставить ГК208.
Имеется ли возможность в ПЛИС 5576ХС1Т включать подтягивающие резисторы?Если да, то как? В документации по этому вопросу ничего не сказано
DSIoffe
Nov 18 2015, 13:03
Цитата(XC1 @ Nov 18 2015, 15:05)

Имеется ли возможность в ПЛИС 5576ХС1Т включать подтягивающие резисторы?Если да, то как? В документации по этому вопросу ничего не сказано
Надо купить на заводе специальную программу, она замешивает свои данные в готовый SOF или RBF. Там среди прочего есть включение Pull-Up и Pull-Down.
призрак
Nov 18 2015, 14:49
Цитата(DSIoffe @ Nov 18 2015, 16:03)

Надо купить на заводе специальную программу, она замешивает свои данные в готовый SOF или RBF. Там среди прочего есть включение Pull-Up и Pull-Down.
При такой цене на микросхемы они бесплатно должны её давать..
Есть ли какая-нибудь информация по свежепоявившимся К5576ХС4Т1 и К5576ХС1Т2 в пластике?
Совпадают ли номера выводов с металлокерамическими вариантами?
Номера ТУ на ПЛИС в пластике?
likeasm
Nov 24 2015, 06:49
Цитата(AlexG @ Nov 24 2015, 10:27)

Есть ли какая-нибудь информация по свежепоявившимся К5576ХС4Т1 и К5576ХС1Т2 в пластике?
Совпадают ли номера выводов с металлокерамическими вариантами?
Номера ТУ на ПЛИС в пластике?
Они разве появились? Нам товарищи из КТЦ "ЭЛЕКТРОНИКА" дали понять, что они будут еще не скоро. Пока закончат ОКР(12.2015 в описании на ПЛИС) , пока испытания, пока запуск...
gosu-art
Nov 24 2015, 08:32
Уж надеюсь на пластик ТУ не нужно будет покупать. Да и программу эту дурацкую, которая ногами занимается.
Я понимаю если бы эта микросхема была на передовой технологий! Но ее просто достали с технологической "мусорки" поставили маркировку кириллицей и продают за бешеные бабки.
likeasm
Nov 24 2015, 09:30
Срисовали Flex, сделали а-ля Cyclone II, думаю, что и а-ля Cyclone III сделают. А там и до Cyclone V не далеко.
Corner
Dec 19 2015, 12:53
Цитата(gosu-art @ Nov 24 2015, 11:32)

Уж надеюсь на пластик ТУ не нужно будет покупать. Да и программу эту дурацкую, которая ногами занимается.
Я понимаю если бы эта микросхема была на передовой технологий! Но ее просто достали с технологической "мусорки" поставили маркировку кириллицей и продают за бешеные бабки.
Цоколевка не совпадает))) корпус пластиковый, но распиновка как у керамики...
ТУ нет, только общее описание. Перебил пины ручками в кактусе. Работает. Подтяжки снаружи резисторами. Флэшку взяли иностранную-прошивка ХС4 не лезет в миландровскую рс4/рт4. В гибридном режиме их флэшки не работают. Так что ставьте EPC серию...
призрак
Dec 25 2015, 13:40
ДА и ТУ на ХС1Т2 не панацея.. мало информации, ошибки попадаются... надо проверять когда создаёшь элементы
gosu-art
Dec 30 2015, 07:54
Цитата(Corner @ Dec 19 2015, 15:53)

Цоколевка не совпадает))) корпус пластиковый, но распиновка как у керамики...
ТУ нет, только общее описание. Перебил пины ручками в кактусе. Работает. Подтяжки снаружи резисторами. Флэшку взяли иностранную-прошивка ХС4 не лезет в миландровскую рс4/рт4. В гибридном режиме их флэшки не работают. Так что ставьте EPC серию...
Гибридный режим это тот который каскадно включает несколько флэшек, увеличивая общим объем памяти?
-=Sergei=-
Dec 30 2015, 13:48
Цитата(gosu-art @ Dec 30 2015, 10:54)

Гибридный режим это тот который каскадно включает несколько флэшек, увеличивая общим объем памяти?
Должны работать в каскадном режиме, проверяли.
http://forum.milandr.ru/viewtopic.php?f=5&t=1998#p9950
Пробуем работать с 5576XC4T, в качестве софта применяем quartus 9, в качестве программатора пытаемся использовать usbblaster
Не удается подключить драйверы под WIN7, как народ изворачивается?
DSIoffe
Feb 8 2016, 11:29
Цитата(aem @ Feb 8 2016, 14:20)

Пробуем работать с 5576XC4T, в качестве софта применяем quartus 9, в качестве программатора пытаемся использовать usbblaster
Не удается подключить драйверы под WIN7, как народ изворачивается?
У меня Quartus 9 так и не справился, уже не помню, с чем именно. Работаю с Quartus 6, под Windows 10 64-разрядной, без проблем.
А какую версию quartus рекомендует производитель? И последняя версия quartus поддерживающая Flex(аналог 5576)? Где-то видел упоминание, не могу вспомнить.
Народ, а в чем ништяк пластика? Он же вроде не военный?
DSIoffe
Feb 8 2016, 13:15
Цитата(aem @ Feb 8 2016, 15:30)

А какую версию quartus рекомендует производитель? И последняя версия quartus поддерживающая Flex(аналог 5576)? Где-то видел упоминание, не могу вспомнить.
Там надо не просто FLEX, а именно FLEX10KE. 6я понимает, 9я - нет, промежуточные не пробовал. Версию 6 когда-то давно рекомендовали здесь на форуме. Лишний раз спрашивать у производителя - трудоёмкий процесс, к сожалению, на форуме быстрее
Цитата(litv @ Jul 2 2015, 10:08)

to Bugdesigner:
1)Данная всетаки воронежская ПЛИС имеет специальную приемку(те ПЛИС не коммерческая). Для этого и топология кристалла проектирована заново. Помоему такой ПЛИС у Alterы не было вообще с военной приемкой.
2)Софт и технология - вон китай дерет что хочет и только в прибыли(скоро обгонит США). Нам еще учиться так копировать.
3)Военные микросхемы могут производиться по 30-40 лет если стоят в нужном приборе. Когда Электроника лопнула - все микросхемы 74 серии воронежские с 5 приемкой купили типа французы на сотни миллионов $.
Никакого техпроцесса и лицензий никто не покупал. Я процессоры TI 10 и 25 когдато там делал - они до сих пор выпускаются серийно. Зато вот в СССР все было советское оборудование(тоже отставали на 10 лет минимум). Нам просто не продавали по поправке Джексона. А сейчас микроэлектронику в России практически не финансируют даже для спецвещей. Денег никто из правительства не выделяет(а скажем в Южной Корее прямо по госплану, купить новую литографию 0.0000....микрон для Samsung).
Вы сами чтото сделайте, напишите новую архитектуру ПЛИС и софт(лучше действительно open source

), предложите НИИЭту.
Мы потом придем и возьмем на себя самое сложное - покритикуем.
Хороший ответ, сильно

Жду аналоги EP2C5/8 в пластике...
Shamil
Mar 27 2017, 06:35
Цитата(DSIoffe @ Feb 8 2016, 19:15)

Там надо не просто FLEX, а именно FLEX10KE. 6я понимает, 9я - нет, промежуточные не пробовал. Версию 6 когда-то давно рекомендовали здесь на форуме. Лишний раз спрашивать у производителя - трудоёмкий процесс, к сожалению, на форуме быстрее

А где взять 6-ю версию Квартуса не подскажите ?
А то на фтп есть только 9.1 и выше...
Цитата(Shamil @ Mar 27 2017, 09:35)

А где взять 6-ю версию Квартуса не подскажите ?
А то на фтп есть только 9.1 и выше...
Последняя версия Квартуса, которая поддерживает семейство FLEX10KE - 9.0 SP2.
Циклон 2 тоже, может пригодиться. Языки описания аппаратуры тоже прилично поддерживает.
Моделсим тоже прилагается.
Shamil
Mar 28 2017, 03:38
Цитата(sazh @ Mar 27 2017, 22:23)

Последняя версия Квартуса, которая поддерживает семейство FLEX10KE - 9.0 SP2.
Циклон 2 тоже, может пригодиться. Языки описания аппаратуры тоже прилично поддерживает.
Моделсим тоже прилагается.
Спасибо за информацию !
Но и 9.0 на фтп отсутствует, к сожалению...
Lmx2315
Mar 28 2017, 06:56
Цитата(disel @ Feb 8 2016, 15:32)

Народ, а в чем ништяк пластика? Он же вроде не военный?
..он в кучу раз дешевле.
novikovfb
Mar 28 2017, 07:01
Цитата(Lmx2315 @ Mar 28 2017, 10:56)

..он в кучу раз дешевле.
в чем тогда выгода перед оригинальными буржуйскими ПЛИС? Неужели воронежские в пластике настолько дешевле, что выгоднее скакать по граблям приспособленных средств разработки?
Для просмотра полной версии этой страницы, пожалуйста,
пройдите по ссылке.