Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Синтезаторы частот. От концепции к продукту.
Форум разработчиков электроники ELECTRONIX.ru > Аналоговая и цифровая техника, прикладная электроника > Rf & Microwave Design
Страницы: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63
Chenakin
Цитата(Dr.Drew @ Jan 20 2011, 08:26) *
Что-то заоблачная цифра. Минус 177 приведённый к 100 МГц. Уровень Паскаля, но нужно ещё и сохранить.


Ну, вот, ждать долго не пришлосьsm.gif. Пропробовать можно, хотя задачка – не подарок. При этом если использовать ФАПЧ (а не прямой синтез), то “в ядре” уходить, скорее всего, следует ещё выше вверх, а не вниз. Имеется ввиду не сам ГУН, а умножитель в петле ФАПЧ. Если использовать умножитель в петле, а не делитель, то шумы детектора и др. элементов (кроме опоры, конечно) будут улучшатся, а не деградировать по тому же 20logN закону. “Мелочь”, но приятноsm.gif. Способ, я считаю, исключительно простой (я его в той самой книжке использую вообще без всяких особых комментариев) и мне лично очень нравится, хотя всегда, почему-то, вызывает массу скептических замечаний.
Sergey Beltchicov
Цитата(nickes @ Jan 21 2011, 02:00) *
Доброго дня!

Вероятно вопрос больше к Сергею Бельчикову(я пару дней назад задавал вопрос на сайте Эльвиры по FSU). Несколько непонятно, почему такие печальные данные по шумам умножителей на основе SRD. У Вас есть объяснение такому поведению умножителей?
На прикрепленом файле шумы полноценного возбудителя с ЛЧМ, АМ и т.д.(ибо ДДС и соответствующая скорость перестройки в узком диапазоне, в широком начинает подтормаживать PLL, но до единиц/десятков микросекунд довести возможно, проверено). Да, так вот, это макет в котором используется рубидиевая опора 10МГц, затем кварцевый фильтр, а потом я немного промахнулся с уровнями и пришлось добавить нечто типа MSA0505 или MSA1104(сейчас точно не помню) с шумами около 5/6дБ и малым усилением, затем умножитель на 10 и умножитель на 5 и затем на 14. Плюс смесители, дополнительный синтез и т.д. Тем не менее если отталкиваться от Вашего ответа на сайте Эльвиры и совершенно неподходящего выходного уровня возбудителя(-16дБн) я имею -114...-116дБн/Гц(после пересчета с учетом шума FSU) на 10КГц отстройке и -130 на 300КГц отстройке.


В вопросе ФШ нужно оценивать полочные явления и вовремя их избегать. Что я имею в виду? Предположим у Вас опора 100 МГц с ФШ -180 дБ/Гц @10 кГц. Вы хотите ее умножить допустим на 50 и получить -146 дБ/Гц @10кГц. На практике вы не получите лучше -138...140 дБ/Гц. Потому что здесь у умножителей SRD полка. Причем это не полка теплового шума. Выше я уже писал: предположим, что на выходе умножителя мы имеем -20 дБм. Тепловая полка для данного выходного уровня это -177 дБ/Гц +20 дБ = -157 дБ/Гц. Так вот если Вы работаете существенно выше полки умножителя (поскольку на 7ГГц -116 дБ/Гц шум не Бог весть какой), то Вы его с успехом используете без деградации ФШ, потому что деградация у Вас (если она есть) обусловлена другими элементами схемы. В нашем случае мы работаем вблизи полки и для нас она является одним из ограничивающих факторов.

Цитата
Интересно (просто интересно, никакой критики), а почему у Вас PLL bandwidth выбран близким к 1 МГц? Если Вы используете ЖИГ, то, всё равно, скорость перестройки будет ограничена, а шумы на 1 МГц теряются, т.е. это, как раз, тот случай, который отстаивал Dr. Drew.


Вопрос понятен. На самом деле, если я на своем приборе поставлю спан, например, 100 МГц, где достаточно высокая скорость сканирования (20-10мсек при фильтре 1МГц), то на спектре при узкой петле появляется дребезг, который пропадает с расширением петли или с увеличеним времени развертки. У ЖИГ-синтезатора шум на 10кГц можно дополнительно завалить на 2-3 дБ, если петлю вообще сдвинуть в район 1-1,5МГц. Поэтому часто в спектроанализаторе используется несколько петель коммутируемых в зависимости от спана (узкая на широком, широкая на узком). Мы пока решили остановиться на одной "компромиссной" петле с частотй среза примерно в 600кГц.

Цитата
1) Ref = 0 дБм (min att = 10 дБ) шум = -146.5 дБм при полосе 1 Гц и ровно на 10 дБ увеличивается с 10-кратным увеличением полосы
2) Ref = -4 дБм (min att = 6 дБ) шум = -150.5 дБм при полосе 1 Гц и также меняется с увеличением полосы
3) Ref = -10 дБм (min att = 6 дБ и меньше сделать нельзя) шум такой же как в пунке 2


В принципе, Ваши результаты коррелируют с моим более ранним утверждением, что анализатор спектра со сквозным коэффициентом передачи (поправляю термины rolleyes.gif), лучше, чем -145дБ/Гц сделать нельзя. Я ошибся на 1.5 дБ. Но Вы используете типичные значения, я же говорил про спецификацию. Внесу еще одно уточнение на всякий случай: нельзя сделать, если мы хотим одновременно иметь точку IP3 анализатора выше +15дБм. То, что прибор не позвляет выставить аттенюацию меньше определенного уровня при заданном REF LEVEL связано с тем, что прибор не дает превысить определенный порог в дБ относительно полной шкалы АЦП.
rloc
Цитата(Sergey Beltchicov @ Jan 21 2011, 12:08) *
Тепловая полка для данного выходного уровня это -177 дБ/Гц +20 дБ = -157 дБ/Гц.

А не -174 дБм была 50-омная шумовая полка?

Цитата(Sergey Beltchicov @ Jan 21 2011, 12:08) *
Поэтому часто в спектроанализаторе используется несколько петель коммутируемых в зависимости от спана (узкая на широком, широкая на узком).

Примерно также и в PXA задумано, три настройки петли обратной связи:
1) Best Close-In Ф Noise (offset < 140 kHz)
2) Best Wide-Offset Ф Noise (offset > 160 kHz)
3) Fast Tuning

Цитата(Chenakin @ Jan 21 2011, 02:09) *
При этом если использовать ФАПЧ (а не прямой синтез), то ”в ядре” уходить, скорее всего, следует ещё выше вверх, а не вниз. Имеется ввиду не сам ГУН, а умножитель в петле ФАПЧ. Если использовать умножитель в петле, а не делитель, то шумы детектора и др. элементов (кроме опоры, конечно) будут улучшатся, а не деградировать по тому же 20logN закону. ”Мелочь”, но приятноsm.gif.

Почему-то раньше и мысль не приходила умножать обратную петлю. Интересный вариант. В QuickSyn такая же реализация?
Sergey Beltchicov
Цитата(rloc @ Jan 21 2011, 14:50) *
А не -174 дБм была 50-омная шумовая полка?


В литературе того же Аджилента утверждается, что тепловой пол для однополосного ФШ -177 дБ/Гц. Хотя часто это становится предметом спора.
Dr.Drew
Цитата(rloc @ Jan 21 2011, 14:50) *
Почему-то раньше и мысль не приходила умножать обратную петлю. Интересный вариант. В QuickSyn такая же реализация?


Видимо, да. Но тут есть подводный камень - если шумы "подставки" в обратной связи выше шумов ФД, то толку от умножения не будет.

По поводу шума 50 Ом, не путайте тепловой шум минус 174 дБм/Гц и фазовую составляющую, меньшую на 3 дБ - минус 177 дБм/Гц. В сумме с амплитудной они дают минус 174.
ledum
Цитата(Dr.Drew @ Jan 21 2011, 14:14) *
По поводу шума 50 Ом, не путайте тепловой шум минус 174 дБм/Гц и фазовую составляющую, меньшую на 3 дБ - минус 177 дБм/Гц. В сумме с амплитудной они дают минус 174.

И не только 50 Ом. Я думаю любой резистор от тепла шумит минус 174дБм/Гц или минус 177 амплитудного и минус 177 фазового. При 300 Кельвин .
Dr.Drew
Позвольте с вами не согласиться. СПМ теплового шума пропорциональна сопротивлению резистора. А вот то, что половина этого шума амплитудная, а половина - фазовая, это для любого резистора справедливо.
Sergey Beltchicov
Цитата(Dr.Drew @ Jan 21 2011, 15:14) *
Видимо, да. Но тут есть подводный камень - если шумы "подставки" в обратной связи выше шумов ФД, то толку от умножения не будет.

По поводу шума 50 Ом, не путайте тепловой шум минус 174 дБм/Гц и фазовую составляющую, меньшую на 3 дБ - минус 177 дБм/Гц. В сумме с амплитудной они дают минус 174.


А когда производитель (допустим, Hittite) нормирует фазовый шум для умножителя в дБ/Гц, что он по Вашему имеет в виду: фазовый шум или фазовый вместе с амплитудным?

Вот по этой ссылке Picosecond тоже говорит о тепловом поле в привязке к своим NLTL-умножителям.
http://www.picosecond.com/objects/RPN-Measurement.pdf
ledum
Цитата(Dr.Drew @ Jan 21 2011, 14:33) *
Позвольте с вами не согласиться. СПМ теплового шума пропорциональна сопротивлению резистора.


P=kTdeltaF или если привести к одному Герцу 10лог(1.38*10-23*300)-10лог(1000)=минус 173.8дБм
Что касается частотных и амплитудных - ИФ1603СА четко разделялось измерение амплитудных и фазовых шумов с совсем разными схемами. Но на уровне тепловых шумов это может быть нереально поэтому ИМХО просто принимают на веру равное распределение.
Я немного схитрил с СПМШ (смайлик). Но работать с несогласованными цепями некошерно.
Dr.Drew
Перепутал с шумовым напряжением.
rloc
В Picosecond тоже люди работают:

Код
Where 177dBm is the thermal noise of a 50ohm resistor


Явно речь не о напряжении была, ну не упрекать же их за это.
ledum
Цитата(rloc @ Jan 21 2011, 15:42) *
Цитата
Where 177dBm is the thermal noise of a 50ohm resistor


Явно речь не о напряжении была, ну не упрекать же их за это.

При минус 123 Цельсия и не такое напишешь. А если серьезно, то дай бог нам до их цифирок дорасти (я про себя).
А описки даже у НИСТовцев бывают http://nistboulder.net/Phase_noise_suppression.pdf - используют "defective noisy NLTL" , а на графиках везде пишут SRD
Dr.Drew
Цитата(ledum @ Jan 21 2011, 16:58) *
то дай бог нам до их цифирок дорасти


Ларчик, всегда просто открывается...
chikilfarik
курить есть у кого нибудь?
ledum
Цитата(chikilfarik @ Jan 22 2011, 02:53) *
курить есть у кого нибудь?

Скажите спасибо, что хоть дым понюхать дают. Траву каждый свою выращивает. Но специфика такова, что плантацию сдавать нельзя - куда ни стань - секреты фирмы. Я надеюсь, Сергей не обидится, если я приведу ссылки на его статью http://www.kit-e.ru/assets/files/pdf/2009_05_139.pdf http://www.kit-e.ru/assets/files/pdf/2009_06_142.pdf . Курите.
Офф. Хотя смотреть со стороны интересно. Первый раунд боксерского поединка. Прощупывание. По закону жанра на этом все явное должно закончиться.
VCO
Цитата(ledum @ Jan 22 2011, 12:56) *
Офф. Хотя смотреть со стороны интересно. Первый раунд боксерского поединка. Прощупывание. По закону жанра на этом все явное должно закончиться.

bb-offtopic.gif Интересно, что основные участники обсуждения друг другу конкурентами никак не являются, за исключением Элвиры с Микраном.
Мне, например, гораздо проще заказать QS, чем сидеть и самому его разрабатывать и отлаживать, а в книге заинтересовал элементарный ликбез, который давно напрашивался. Так что второй раунд скорее всего будет! rolleyes.gif
ledum
Цитата(YIG @ Jan 22 2011, 14:13) *
bb-offtopic.gif Интересно, что основные участники обсуждения друг другу конкурентами никак не являются, за исключением Элвиры с Микраном.

Тоже офф. Речь не о конкуренции, а о ноу-хау, которые можно применять в совсем скажем в других областях любимого топика. В мишках неоднократно говорил - промышленный шпионаж - святое, особенно в наше время полностью разрушенных профессиональных школ. Иначе, что я, декодерщик, с технологическим образованием напылителя здесь делаю, при этом в профильных стараюсь вообще не появляться, дабы не ляпнуть лишнего?
ledum
Цитата(rloc @ Jan 20 2011, 12:59) *
какой тип NLTL и SRD брали для сравнения, чем фильтровали и как согласовывали?
Я так понимаю опора с шумом -176 дБн/Гц своя?

Я вроде давал ссылку на эту статью - дает достаточно пищи для размышлений. Хотя есть вопросы - почему бы умножитель на ДНЗ ему при измерениях не посадить на диплексор, пусть простейший, даже не полосовой, комбинацией ФНЧ-ФВЧ - это резко уменьшит отражение нежелательных гармоник, при правильном выборе частоты раздела и затухание можно малое сделать - одна из его версий шумов в умножителе на ДНЗ - дрожание заднего фронта из-за отражений лишних гармоник. Усилитель на BFG591 шумноват, даже не смотря на 3-х децибельное ограничение. Хоум мейд умножитель на нелинейной линии передачи - это интересно и просто (смайлик)
rloc
Цитата(ledum @ Jan 23 2011, 14:00) *
Я вроде давал ссылку на эту статью - дает достаточно пищи для размышлений.

Книжка конечно интересная, но не могу найти нигде сведений о значениях комплексного входного и выходного сопротивления на соответствующих гармониках, хотя бы для входных частот 100, 200, 250 МГц при разных bias. Недавно я занимался согласованием мощных усилителей класс-С, задача не из легких, но решаемая. Для усилителей вполне достаточно было согласовать до 3-ей гармоники, для NLTL предположительно и 5 гармоник хватит, далее уровень сильно падает и возможно отраженные гармоники не так сильно влиять будут. Появилось желание поэкспериментировать самому, благо есть возможность измерить сопротивления, остался только вопрос через кого достать в России Picosecond?

Цитата(ledum @ Jan 23 2011, 14:00) *
Хоум мейд умножитель на нелинейной линии передачи - это интересно и просто (смайлик)

Это что за зверь?
VCO
Цитата(rloc @ Jan 25 2011, 16:11) *
Появилось желание поэкспериментировать самому, благо есть возможность измерить сопротивления, остался только вопрос через кого достать в России Picosecond?

Мне один умный человек eBay посоветовал, но мне там что-либо брать можно только для экспериментов.
Если попробую комплектовать с иБэя заказные изделия - меня самого отибэют по полной программе! twak.gif
ledum
Цитата(rloc @ Jan 25 2011, 15:11) *
Это что за зверь?

В том диссере описана NLTL 8-го порядка на дискретных 10нГ индуктивностях и сверхрезких варикапах, у которых емкость от приложенного СВЧ меняется от 9 до 4пф. Возможно, что 1Гиг из 200МГц на ней получить можно. Обычные NLTL имеют 50-100 порядок, интегрированые на чипах, но на них и 50ГГц получают из 100МГц.
Вот еще вариант такой попытки
Chenakin
Цитата(YIG @ Jan 19 2011, 05:44) *
Почему 4-10 ГГц сразу понял, основная рабочая октава, которая от 10 до 20 ГГц, от 20 до 40 ГГц переносится умножением, а ниже 4 ГГц - делением, причём 2-4 ГГц, 1-2 ГГц и т.д.

Ремарка: для переноса умножением не обязательно иметь октаву (хотя и удобно). Меня больше интересовала частота “ядра”.

Цитата(Dr.Drew @ Jan 21 2011, 04:14) *
Но тут есть подводный камень - если шумы "подставки" в обратной связи выше шумов ФД, то толку от умножения не будет.


Конечно. Но это не подводный камень, а законы природы, которые никто не отменял. Как я понимаю, говоря "подставка", Вы имеете ввиду сигнал, идущий на LO вход смесителя? Тогда он и будет опорой, или извлекаться из той комбинированной опоры. Т.е. если изначально опоры требуемой нет, то уже ничего не поможет. Всё сходится.



Цитата(ledum @ Jan 22 2011, 01:56) *
Хотя смотреть со стороны интересно. Первый раунд боксерского поединка. Прощупывание. По закону жанра на этом все явное должно закончиться.

Вообще, я с ответами всегда хронически запаздываю. Но тут я, видно, совсем “выпал” из темы. Вы не подскажите, кто кого боксирует, а, главное, зачем? Лично для меня – это просто возможность общения. Как-то само собой получилась широкая тема для обсуждения, подобрался интересный коллектив для обмена мнениями (а не ударами) по очень широкому кругу вопросов. Честно говоря, никакого негатива я лично не почувствовал, мне было просто интересно.

Цитата(ledum @ Jan 22 2011, 01:56) *
Скажите спасибо, что хоть дым понюхать дают. Траву каждый свою выращивает. Но специфика такова, что плантацию сдавать нельзя - куда ни стань - секреты фирмы.

Замечание верное. К сожалению, приходится оставаться внутри определённых рамок. Хотя, к вопросу инт. соб. я лично отношусь исключительно спокойно. Был у нас как-то обмен мнениями, как защитить QuickSyn от попыток копирования. Звучало много разных предложений, например стирать названия с чипов и т. д. Я же предложил просто выложить все схемы на вебсайте, чтобы дать возможность “прочувствовать” всю прелесть копирования. Смысл исключительно чётко сформулирован YIGом:
>>>Мне, например, гораздо проще заказать QS, чем сидеть и самому его разрабатывать и отлаживать…
Очень точно сказано (как и другие замечания YIGа). Справедливости ради скажу, что моё предложение понимания не получило, но, правда, и чипы решили не затирать.

Цитата(ledum @ Jan 22 2011, 04:25) *
Тоже офф. Речь не о конкуренции, а о ноу-хау

На мой взгляд, здесь два подхода к понятию ноу-хау – на концептуальном уровне (уровне идеи) и на уровне практической реализации. Второе лично для меня представляет гораздо меньший интерес. Более того, скажу честно, на уровне оптимизации резисторов я чувствую себя совсем не очень комфортно. Просто потому, что последний год (а то и больше) к этому вообще не подходил. Вся основная работа сейчас (процентов 70%) – это собрания, всякая орг. деятельность и т. д. Еще 25% процентов – это раздать ценные указания своим инженерам и только оставшаяся часть это – для души – работать с чем-то новым, как раз на концептуальном уровне. Вот это для меня гораздо более интересно и здесь я стараюсь быть гораздо более открытым. Пример – та же тема – “ЖИГ или не ЖИГ”, которую не раз поднимал и на различных конференциях и здесь на форуме, и в той же книге есть целая главка об этом, а сейчас готовлю статью для Microwaves&RF, которая, надеюсь, появится в апреле или мае. Также, надеюсь, они мне любезно разрешат разместить её на нашм вебстайте для всеобщего пользования. Так что по мере сил пытаюсь быть открытым, вопрос только, что представляет интерес и что можно действительно считать ноу-хау.

И ещё на эту тему. Здесь присутствуют люди из известных Российских компаний. Почему бы не организовать межд. коференцию непосредственно на эту тему? Можно пригласить людей из очень часто упомянаемых здесь источников, поговорить тэт-а-тэт на интересующию тематику. Если у кого такое желание возникнет, я бы с удовольствием помог, например, с приглашением ин. участников. Подумайте.


Цитата(ledum @ Jan 22 2011, 04:25) *
Иначе, что я, декодерщик, с технологическим образованием напылителя здесь делаю

Ну, зачем так. Я читал Ваши коменты в других темах, они явно тянут больше чем на технологическое напыление. Кстати, ledum, я заметил, Вы из Киева. Где, чем занимаетесь, если не секрет? Также было бы интересно познакомиться с тов. YIGом и другими участниками форума. Кто не боится потерять инкогнито, может черканёте пару слов мне на achenakin@phasematrix.com? Просто интересно, с кем ведёшь беседу, плюс всегда могут возникнуть другие, внефорумные варианты сотрудничества. Все, вроде, как из одной области.

Цитата(YIG @ Jan 22 2011, 04:13) *
Так что второй раунд скорее всего будет! rolleyes.gif

Тогда я в зрители, или в судейство, а пожалуй, лучше всего, по телевизоруsm.gif
VCO
Цитата(Chenakin @ Jan 27 2011, 06:03) *
Ремарка: для переноса умножением не обязательно иметь октаву (хотя и удобно). Меня больше интересовала частота “ядра”.

То есть, Вы имеете ввиду умножение с помощью ФАПЧ? Я же имел ввиду классическое кратное умножение, например, микросхемами фирмы Hittite.
Цитата
Также было бы интересно познакомиться с тов. YIGом и другими участниками форума. Кто не боится потерять инкогнито, может черканёте пару слов мне на achenakin@phasematrix.com? Просто интересно, с кем ведёшь беседу, плюс всегда могут возникнуть другие, внефорумные варианты сотрудничества. Все, вроде, как из одной области.

Ремарка: При этом терять инкогнито совсем необязательно. Интернет вполне подходит не только для делового, но и для свободного общения через свободный E-mail и псевдоним. Другое дело, если кто боится, что его могут вычислить, то могу Всех заверить, что спецслужбы, если надо, вычислят любого без проблем. Но в моём случае более актуален анекдот про "неуловимого Джо"! biggrin.gif
Цитата
Тогда я в зрители, или в судейство, а пожалуй, лучше всего, по телевизоруsm.gif

Вижу слова истинного американца, у которого слово раунд ассоциируется прежде всего с боксом! sm.gif
Я же имел ввиду круг, оборот, заход и т.д.
ledum
Здравствуйте, Александр...
Опус-оффтопик пофиксил
Chenakin
Цитата(YIG @ Jan 27 2011, 00:07) *
То есть, Вы имеете ввиду умножение с помощью ФАПЧ? Я же имел ввиду классическое кратное умножение, например, микросхемами фирмы Hittite.

Нет, я про тоже что и Вы (как я понял) – классическое кратное умножение. Просто приходилось видеть, как серьёзные люди на полном серьёзе пытались доказывать, что им необходима как минимум октава, чтобы “перемножать” вверх. Пример из книжки-ликбеза (Ваше определениеsm.gif. Вообще Ваши off – это на уровне искусства, без шуток – просто получаю удовольствие от чтения).

Итак, ближе к телу. Имеем что-либо уже октавы, для простоты “вычислений”, скажем, 4-6 ГГц. Ну, а дальше чистая арифметика:

(4-6) x 2 = (8-12)
(4-6) x 3 = (12-18)

Всё. Из “недооктавы” получили октаву (точнее даже шире: 8-18 ГГц), ну а дальше - вверх классическим путём. Заранее согласен, что с x3 работать сложнее (сабгармоники) и специально это делать не стоит, но, если очень нужно, то можно. А вот использование раздельных умножителей (неважно x2 или x3) предпочтительно уже и с практической точки зрения по тем же причинам (сабгармоники).

Извините за арифметику, это больше к моему окружениюsm.gif. Юмор Ваш понял, и одобряю - сам в том же ключеsm.gif

Цитата(ledum @ Jan 27 2011, 00:47) *
Здравствуйте, Александр...

Да, это почти-что крик души... Спасибо, Виктор. Также и Вам удачи и всех благ. Будем на связи.
Green_Smoke
Уважаемые форумчане!
Разъясните, пожалуйста, следующий момент.
В MWJ за апрель 2008 года была опубликована статья "A Self-offset Phase-locked Loop" Bogdan Sadowski
Так вот там предлагается схема синтезатора с автооффсетом, реализованном на двух делителях частоты с коэффициентами K и L.
Делители стоят в в кольце синтезатора в параллель и замешиваются в него через смеситель.
Собственно вопрос: действительно ли такая схема дает улучшение по фазовым шумам при определенных значениях K и L?
Chenakin
Цитата(Green_Smoke @ Jan 27 2011, 11:19) *
Уважаемые форумчане!
Разъясните, пожалуйста, следующий момент.
В MWJ за апрель 2008 года была опубликована статья "A Self-offset Phase-locked Loop" Bogdan Sadowski
Так вот там предлагается схема синтезатора с автооффсетом, реализованном на двух делителях частоты с коэффициентами K и L.
Делители стоят в в кольце синтезатора в параллель и замешиваются в него через смеситель.
Собственно вопрос: действительно ли такая схема дает улучшение по фазовым шумам при определенных значениях K и L?

Смотря с чем сравнивать. The self-offset loop – по сути, довольно оригинальная реализация дробного делителя, коэффициент деления которого определяется как KL/(K+-L). Поэтому, если сравнивать с целочисленным синтезом, то да, на отдельных частотах можно получить выигрыш за счёт использования более высокой частоты сравнения. К сожалению, таких частот не так уж и много и выигрыш не кардинальный, т.к. делитель в петле всё-равно остаётся Но, может, как раз, это и подойдёт к Вашим требованиям, посчитать частоты и коэф. деления просто. Кстати, если есть серьёзный интерес именно к этой схеме, могу состыковать Вас с Богданом, чтобы обсудить детали непосредственно с первоисточником.
YuriyMatveev
Так как, смотрю, основное общение идет в этой ветке, то думаю здесь мой вопрос будет к месту.
Не так давно поднимал тему про wideband PLL, но в связи с катастрофическим отсутствием времени на полноценное общение, так все и остановилось на начальных нескольких вопросах.
Собственно к самому вопросу:
имея широкополосный VCO (один или несколько) и PFD чем в общем случае может быть ограничена полоса захвата? Ведь обязательно найдутся такие состояния из которых PFD уже не вытянет, VCO чтобы произошел захват частоты. (что кстати и наблюдаю на практике). Порывшись в литературе по системам фазовой синхронизации полного ответа так и не получил, хотя в одной из книг рассматривался цифровой PFD где утверждалось, что полоса захвата ограничена возникновением предельного цикла, который в свою очередь зависит от формы характеристики регулирования PFD. Но так как синтезаторы лишь часть чем приходится мне заниматься, то и чтение книг ограничилось беглым просмотром (учитывая, что работа ФАПЧ рассматривается на основе Марковских процессов и решения стохастических диффуров. wacko.gif ).

А на практике имею простой однопетлевой синтезатор: два VCO , управляющие входы параллельно, выходы через switch на PFD. И при включении, почему то, захват происходит только на одном VCO. Причем если попытаться сразу при включении выставить частоту работы второго VCO, то захвата нет и PLL уводит VCO куда-то в непонятную область. Хотя если получить захват частоты на первом VCO, а потом переключится на второй, то все нормально - захват есть во всем диапазоне работы двух VCO.

Вот отсюда и возникает вопрос, какие все-таки есть особенности при проектировании PLL с использованием VCO имеющем октавную перестройку частоты?????????
или же действительно полоса захвата PFD бесконечная и при использовании октавных VCO ни каких проблем в принципе быть не должно???
Green_Smoke
Автор утверждает, что фазовый шум внутри кольца ФАПЧ в токой схеме улучшается пропорционально отношению 20log [x/(K+x)], где x=L-K. Например, использование делителей L=20 и K=19 должно привести к улучшение фазовых шумов в кольце ФАПЧ на 26дБ.
Не совсем понятно за счет чего происходит такое улучшение (не указано что улучшается)?

Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
А на практике имею простой однопетлевой синтезатор: два VCO , управляющие входы параллельно, выходы через switch на PFD. И при включении, почему то, захват происходит только на одном VCO. Причем если попытаться сразу при включении выставить частоту работы второго VCO, то захвата нет и PLL уводит VCO куда-то в непонятную область. Хотя если получить захват частоты на первом VCO, а потом переключится на второй, то все нормально - захват есть во всем диапазоне работы двух VCO.

А у ваших VCO крутизна одинаковая? Если нет то вы это учитываете в кольце ФАПЧ?
YuriyMatveev
Да, крутизна характеристики используемых VCO примерно одинаковая
khach
Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
Ведь обязательно найдутся такие состояния из которых PFD уже не вытянет,

PFD всегда вытянет, если сигнал на входе правильный (одночастотный). Как быстро вытянет- это второй вопрос.
Цитата
Вот отсюда и возникает вопрос, какие все-таки есть особенности при проектировании PLL с использованием VCO имеющем октавную перестройку частоты?????????

Проблема называется- вторая гармоника VCO и изменение чувствительности прескалера по диапазону или нерассчетный режим VCO. Т.е обязательно перед замыканием петли просмотреть при free running vco спектр VCO и спектр на выходе прескалера, при этом загоняя VCO во все возможные состояния, в том числе и за реальные рабочие диапазоны, т.е до предела,который допускает схема управления VCO- предел по питаниию например или по "рельсе" операционника. На таких пределах VCO может вообще не генерить или генерить черти что (при напряжениях на варикапах около 0 или вообще при открытых в прямом направлении варикапах. Понятно, что захвата в этом случае небудет.
тау
Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
имея широкополосный VCO (один или несколько) и PFD чем в общем случае может быть ограничена полоса захвата? Ведь обязательно найдутся такие состояния из которых PFD уже не вытянет, VCO чтобы произошел захват частоты. (что кстати и наблюдаю на практике).
если FPFD работают по цепи обратной связи в диапазоне частот и мощностей всех ГУНОВ, KVCO у всех примерно одинаковый (при непереключаемом фильтре PLL) , "switch на PFD" работает правильно , без заметных пролазов от соседних VCO, то проблем с захватом быть не должно.

Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
Причем если попытаться сразу при включении выставить частоту работы второго VCO, то захвата нет и PLL уводит VCO куда-то в непонятную область.
Вот это странно . И куда ж уводится VCO ? что при этом с сигналом от VCO идущим на FPFD ? а если отключить питание первого VCO - захват произойдет ?

YuriyMatveev
Цитата(khach @ Jan 28 2011, 12:57) *
На таких пределах VCO может вообще не генерить или генерить черти что (при напряжениях на варикапах около 0 или вообще при открытых в прямом направлении варикапах. Понятно, что захвата в этом случае небудет.


попробую проверить с разорванной петлей что на входе PFD делается при макс. и мин. значении выхода OPAMP ...


Цитата(тау @ Jan 28 2011, 13:07) *
если FPFD работают по цепи обратной связи в диапазоне частот и мощностей всех ГУНОВ, KVCO у всех примерно одинаковый (при непереключаемом фильтре PLL) , "switch на PFD" работает правильно , без заметных пролазов от соседних VCO, то проблем с захватом быть не должно.

Вот это странно . И куда ж уводится VCO ? что при этом с сигналом от VCO идущим на FPFD ? а если отключить питание первого VCO - захват произойдет ?


VCO уводится вниз по частоте, причем сигнал на его выходе присутствует. Питание VCO коммутируемое (если включен один то автоматически выключен другой). Причем в командах управления, чтобы все успело переключится, введена задержка при переходе от одного VCO к другому.
Повторюсь, что если захват произошел, то все в порядке, имеем то что хотим иметь: захват на всех рабочих частотах VCO в интересующем нас диапазоне.
В принципе проблему так сейчас и решил, при включении принудительно выставляю частоту на которой захват происходит без проблем, ну а дальше все более менее работает.
Но, !!!!!!! вопрос все таки остался - почему же захват все таки при включении происходит не на всех частотах работы VCO,
вот я и озадачился вопросом чем все таки физически может быть ограничена полоса захвата PFD???
VCO
Цитата(Chenakin @ Jan 27 2011, 18:06) *
Нет, я про тоже что и Вы (как я понял) – классическое кратное умножение. Просто приходилось видеть, как серьёзные люди на полном серьёзе пытались доказывать, что им необходима как минимум октава, чтобы “перемножать” вверх...

...Всё. Из “недооктавы” получили октаву (точнее даже шире: 8-18 ГГц), ну а дальше - вверх классическим путём.

Спасибо, теперь ясно, что Вы имели ввиду. Осталось только уточнить, что проплешину 6-8 ГГц закрываем 12-18 ГГц, делённым на 2.
А то я тут октавниками увлёкся от Synergy, теми, что из серии YIG replacement, но пока не умножал и не делил, просто оптимизирую шумы и спуры, играюсь с током CP PFD на HMC700. Построил на DCYS300600-5 одноконтурную ФАПЧ с шагом 10 МГц в целочисленном режиме, затем решил скомбинировать в таблице управления целое и дробное деление для разных частот (время перестройки позволяет): для выходных частот, кратных 50 или 100 установил соответствующие частоты сравнения при целом N, для остальных - 100 МГц с дробным N. Можно ли всё время переключать частоту сравнения PFD с 50 на 100 МГц и наоборот, или же она должна быть постоянной???
Цитата(YuriyMatveev @ Jan 28 2011, 11:21) *
Вот отсюда и возникает вопрос, какие все-таки есть особенности при проектировании PLL с использованием VCO имеющем октавную перестройку частоты?????????
или же действительно полоса захвата PFD бесконечная и при использовании октавных VCO ни каких проблем в принципе быть не должно???

Я в теории пока слаб, но на практике уже убедился, что проблем быть не должно. Проблема с гармоникой не возникла, так как на радиовход ФАПЧ подавал сигнал с ГУНа на уровне -15 - -20 дБ, можно прикинуть, какова при этом была вторая гармоника. Управляющую характеристику ГУНа компенсирую током CP, хотя остался при своём мнении: в широкополосных (более одной октавы) ФАПЧ, построенных на двух и более ГУНах, этого недостаточно, нужно переключать полосу фильтра. Мнение своё пока списываю на наитие, обосную потом, если подтвердится верность предположения. Но ЖИГов по теории эта проблема не касается.
тау
Цитата(YuriyMatveev @ Jan 28 2011, 15:39) *
Но, !!!!!!! вопрос все таки остался - почему же захват все таки при включении происходит не на всех частотах работы VCO,
вот я и озадачился вопросом чем все таки физически может быть ограничена полоса захвата PFD???
наверное лучше не вспоминать про PFD, там свои заморочки с полосой захвата, а у Вас наверняка конкретно стоит FPFD. чисто в теории он не ограничен по полосе захвата. Физически возможно какие-то недостатки встроенного/внешенего прескалера - по гармоникам ему почему-то ловчее работать чем по основному тону (тем более что частота VCO вниз уходит). Причина - мож. с разводкой что , несогласованность.


Цитата(YIG @ Jan 28 2011, 15:58) *
Построил на DCYS300600-5 одноконтурную ФАПЧ с шагом 10 МГц в целочисленном режиме, затем решил скомбинировать в таблице управления целое и дробное деление для разных частот (время перестройки позволяет): для выходных частот, кратных 50 или 100 установил соответствующие частоты сравнения при целом N, для остальных - 100 МГц с дробным N. Можно ли всё время переключать частоту сравнения PFD с 50 на 100 МГц и наоборот, или же она должна быть постоянной???

Практически частое переключение R предделителя не использовал, но в лабораторных условиях для 700-го никаких проблем не вызывает, все работает.
Dr.Drew
Переключение R при фиксированной выходной частоте влияет на устойчивость. Надо эа этим следить.
khach
Цитата(YIG @ Jan 28 2011, 15:58) *
Но ЖИГов по теории эта проблема не касается.

Не соглашусь. Конечно для петли с предустановкой ЦАПом и смесителем на гармониках это не актуально, а для петли с прескалером- проблема в полный рост. Вот лежит пОциент на столе- диапазон 2.3-7 ггц. Пришлось два прескалера ставить и два направленника. Иначе была такая точка, где прескалеры считали и основную и вторую гармонику и на выходе прескалера- непонятная чехарда импульсов. Захвата петли соответсвенно нет. У этого эффекта был довольно узкий диапазон- мегагерц 200 всего по ширине. Это к тому, что теория- хорошо, а практика - иногда сюрпризы преподносит.
тау
Цитата(Dr.Drew @ Jan 28 2011, 17:10) *
Переключение R при фиксированной выходной частоте влияет на устойчивость. Надо эа этим следить.

в кривых по характеристике Гунах , наприм HMC588 приходится в HMC702 менять и ток СР в 4 раза и его смещение в фракциональном режиме "на лету", для красивости. Иначе, как Вы говорите, устойчивость "того", особенно некрасиво с включенным CSP режимом, если этого не предусмотреть.
YuriyMatveev
Цитата(khach @ Jan 28 2011, 18:45) *
Иначе была такая точка, где прескалеры считали и основную и вторую гармонику и на выходе прескалера- непонятная чехарда импульсов. Захвата петли соответсвенно нет. У этого эффекта был довольно узкий диапазон- мегагерц 200 всего по ширине. Это к тому, что теория- хорошо, а практика - иногда сюрпризы преподносит.


Вот это уже ближе реали...
может и у меня проблема не с PFD, а с прескалером !!!!!!!
надо будет посмотреть, что у него на выходе делается во временной области.......
Chenakin
Цитата(YuriyMatveev @ Jan 28 2011, 00:21) *
Вот отсюда и возникает вопрос, какие все-таки есть особенности при проектировании PLL с использованием VCO имеющем октавную перестройку частоты?????????

Всё уже, в принципе, обговорили, давайте вместе обобщим, на что нужно обратить внимание при проектировании широкополосной ФАПЧ.

1. PFD/Prescaler
PFD можно смело использовать, нужно лишь проверить все граничные режимы. Например, в ADF4106 частота на входе PFD не должна превышать 104 МГц, а частота на выходе dual-modulus прескалера ~300 МГц. Т.е. если Ваш выходной сигнал более 2.4 ГГц – нельзя использовать 8/9 core, надо переходить на 16/17. При этом нужно проверять не макс. рабочую частоту, а макс. частоту генерации ГУНа, куда он может ненароком попасть. Далее нужно проверить, реализуем ли требуемый коэффициент деления. Например, для 16/17 core, мин. continuous коэф. деления будет 16х15=240, а некоторые меньшие значения могут вообще отсутствовать. Ну, и не стоит забывать проверить уровень сигнала на входе прескалера! При низких сигналах он становится нестабильным. Всё это достаточно тривиально, однако, требует внимания.

2. Гармоники
Иногда гармоники на выходе ГУНа могут быть проблемой, т.к. искажают синусоиду и могут перебрасывать логику (например тот же делитель) более 1 раза за период. В частотной области это выглядит, как 2 сравнимых по амплитуде сигнала приходят на вход делителя, и он не может решить, какому сигналу отдать предпочтение. Результатом может быть и нормальная работа, и генерация на удвоенной частоте, и что-то между ними – т.е. ФАПЧ становится нестабильной. Для правильной работы обычно требуется, чтобы основной сигнал превышал уровень гармоник на 10 дБ (я требую от своих инженеров мин. 15). На практике это, обычно, проблем особых не вызывает.

3. Расчёт фильтра ФАПЧ
Следует иметь ввиду, что коэфф. деления в петле, а также Kvco могут изменяться в довольно широких пределах. Поэтому, если посчитать ФАПЧ только лишь в середине раб. диапазона, то может так получиться, что на краях ФАПЧ будет нестабильной. Поэтому расчёт нужно делать как минимум в трёх точках, причём минимум и макс. должны соответствовать мин/макс возможным частотам ГУНа, а не требуемого рабочего диапазона. Если Kvco имеет перегиб своей характеристики, то кол-во точек в расчёте, соответственно, следует увеличить. Задача – получить требуемые характеристики во всём диапазоне, например, путём изменения Rset, формирования нужной передаточной характеристики операционника, переключения R,C элементов в фильтре и т.д.

4. Использование нескольких ГУНов
В принципе, особой разницы здесь нет. Использование нескольких ГУНов даже предпочтительнее, т.к. узкополосные ГУНы будут иметь лучшие шумы и меньший перепад Kvco (кстати, с гармониками тоже проще - добавим каждому ГУНу по LFCN-у на выходе и нет проблем). Однако, следует исключить взаимное влияние ГУНов (утечка RF с неиспользуемого в данный момент ГУНа, шунтирование tuning line и т.д.).

5. Оп. усилитель
Следует проверить, чтобы оп. усилитель смог выдавать необходимое мин/макс напряжение на tuning port ГУНа.

6. Миксер
При введении миксера в петлю ФАПЧ (offset schemes) следует быть исключительно аккуратным, т.к. сигнал может оказаться не с той стороны гетеродина и ФАПЧ будет уводить ГУН в противоположном направлении, т.е. нужно менять полярность PFD. Возможно, придётся использовать какой-нибудь механизм начальной установки частоты (frequency acquisition).

Вроде всё? Если что забыл, просьба добавить. А вообще, во многих случаях результатом сбоя бывает какая-то глупейшая ошибка. Пример. Демонстрируем заказчику QuickSyn, работающий в list mode. List запускается сигналом триггера со внешнего функционального генератора. Измеряем скорость переключения частот, получается какая-то ерунда – то работает, то нет, то время переключения выходит за пределы спецификации. Извиняемся, приносим ещё образец – новенький, уже протестированый. Вроде заработал, а потом опять начинается та же ерунда. Вот так долго маялись, пока кто-то не заметил, что сигнал функц. генератора кто-то с прямоугольного переключил на синусоиду. Потом долго смеялись, а тогда было совсем не до смеха.



Цитата(Green_Smoke @ Jan 28 2011, 01:09) *
Автор утверждает, что фазовый шум внутри кольца ФАПЧ в токой схеме улучшается пропорционально отношению 20log [x/(K+x)], где x=L-K. Например, использование делителей L=20 и K=19 должно привести к улучшение фазовых шумов в кольце ФАПЧ на 26дБ.
Не совсем понятно за счет чего происходит такое улучшение (не указано что улучшается)?

Уважаемый Green Smoke!
Извините за мой весьма поверхностный предыдущий ответ, постараюсь ответить подробнее (теперь уж извините за возможно излишние деталиsm.gif). Я согласен, что некоторые выводы Богдана выглядят чересчур оптимистично. Действительно, можно легко придумать пример, когда макс. коэф. деления будет меньше, чем Fвых cинт/Fвх дет. И что, шумы опоры будут переносится вверх с ухудшением меньше, чем 20logN? Поэтому вполне уместен вопрос, что улучшается – шумы опоры, или шумы фаз. дет., или шумы делителей? Т.е., конечно, нужен более серьёзный анализ схемы. Не хотите попробовать?
Я рассматриваю ценность схемы в плане использования более высокой частоты опоры (сравнения) за счёт реализации дробного коэффициента деления. Поясню на примере. Допустим (гипотетически) имеется опора 10 МГц и требуется синтезировать сигнал на 119 МГц.

Вариант 1 – классическая целочисленная ФАПЧ.
Делим опору на 10 и подаём на ФД. Т.е. Fвх=1 МГц. В петле используем делитель с N=119. Ухудшение шумов:
20log(Fвых/Fвх)=20log119=41,5 дБ.

Вариант 2 – offset loop (чтобы не было разночтений – см. ниже рисунок)
A=17, B=7, Fвх=10
Т.е. теперь мы можем использовать нашу опору непосредственно на 10 МГц, а ухудшение шумов будет:
20log(Fвых/Fвх)=20log11,9=21,5 дБ.

Нажмите для просмотра прикрепленного файла

Это всё сильно упрощенно, конечно; дальше надо смотреть шумы чего доминируют, шумы ФД растут с ростом частоты, улучшаются ли шумы опоры при делении на 10, что происходит на стыке делителей и т.д. и т.п. В общем, схема – не панацея, может использоваться лишь в некоторых благоприятных случаях, а, кроме того, и не достаточно проанализирована. Тем не менее, мне очень она понравилась, как весьма оригинальный способ реализации дробного делителя. Будет желание ”перетереть” теорию – пишите. Как я говорил, можем подключить Богдана, наверное, ему будет тоже интересно.
vxi
К пункту 1, который привёл привёл Александр, хотелось бы добавить правильного критерия выбора коэффицентов А и B, входящих в коэффицент деления основного делителя N. N = P*B+A. Критерием правильности работы становится условие В > A.
Green_Smoke
Цитата(Chenakin @ Jan 31 2011, 06:38) *
Уважаемый Green Smoke!
Извините за мой весьма поверхностный предыдущий ответ, постараюсь ответить подробнее (теперь уж извините за возможно излишние деталиsm.gif). Я согласен, что некоторые выводы Богдана выглядят чересчур оптимистично. Действительно, можно легко придумать пример, когда макс. коэф. деления будет меньше, чем Fвых cинт/Fвх дет. И что, шумы опоры будут переносится вверх с ухудшением меньше, чем 20logN? Поэтому вполне уместен вопрос, что улучшается – шумы опоры, или шумы фаз. дет., или шумы делителей? Т.е., конечно, нужен более серьёзный анализ схемы. Не хотите попробовать?
Я рассматриваю ценность схемы в плане использования более высокой частоты опоры (сравнения) за счёт реализации дробного коэффициента деления. Поясню на примере. Допустим (гипотетически) имеется опора 10 МГц и требуется синтезировать сигнал на 119 МГц.

Вариант 1 – классическая целочисленная ФАПЧ.
Делим опору на 10 и подаём на ФД. Т.е. Fвх=1 МГц. В петле используем делитель с N=119. Ухудшение шумов:
20log(Fвых/Fвх)=20log119=41,5 дБ.

Вариант 2 – offset loop (чтобы не было разночтений – см. ниже рисунок)
A=17, B=7, Fвх=10
Т.е. теперь мы можем использовать нашу опору непосредственно на 10 МГц, а ухудшение шумов будет:
20log(Fвых/Fвх)=20log11,9=21,5 дБ.

Это всё сильно упрощенно, конечно; дальше надо смотреть шумы чего доминируют, шумы ФД растут с ростом частоты, улучшаются ли шумы опоры при делении на 10, что происходит на стыке делителей и т.д. и т.п. В общем, схема – не панацея, может использоваться лишь в некоторых благоприятных случаях, а, кроме того, и не достаточно проанализирована. Тем не менее, мне очень она понравилась, как весьма оригинальный способ реализации дробного делителя. Будет желание ”перетереть” теорию – пишите. Как я говорил, можем подключить Богдана, наверное, ему будет тоже интересно.


В случае увеличения частоты опоры и частоты фазового детектора все ясно.
Вопрос в другом дает ли схема с автоофсетом какой-нибудь выигрыш при прочих равных?
Предлагаю сравнить прилагаемые схемы.
Нажмите для просмотра прикрепленного файла
Как пишет Богдан в своей статье улучшение фазовых шумов в схеме с автоофсетом составит 20lg(10/17)=4.6дБ.
Нажмите для просмотра прикрепленного файла

Да, еще хотелось бы знать какой будет коэффициент передачи в цепи обратной связи для расчета фазовых шумов, переходных процессов?
Просто, ( A - B )/( A *B*N)=1/119 ?

Для примера рассмотрим делитель вне кольца обратной связи. Обычный делитель без учета факторов второго порядка снизит фазовые шумы на 20Lg(N). Теперь заменим делитель на схему, предложенную Богданом, т.е на два делителя и смеситель. Коэффициенты деления выберем А=х и В=х-1 (х>>1). Х подобран так, что коэффициент передачи такой цепи равен х*(х-1)=N , т.е. с точки зрения коэффициента деления схема эквивалентна делителю N. При этом фазовые шумы на выходе уменьшаться на 20lg(х)-3 (3 дБ вследствие вычитания сигналов с примерно одинаковыми фазовыми шумами). С учетом соотношения х и N получим ~10lg(N)-3. Таким образом, с точки зрения фазовых шумов две эти схемы неидентичны. Отразится ли это на работе кольца ФАПЧ?
Chenakin
Цитата(Green_Smoke @ Feb 1 2011, 07:04) *
В случае увеличения частоты опоры и частоты фазового детектора все ясно.

Да. Именно в этом и вижу основную ценность этой схемы.

Цитата(Green_Smoke @ Feb 1 2011, 07:04) *
Вопрос в другом дает ли схема с автоофсетом какой-нибудь выигрыш при прочих равных?

Надо уточнить “прочие равные”. Если считать, что все комп. идеальны (не шумят) и част. опоры/сравнения/детектора одинакова, то я не вижу каких-либо преимуществ по срав. обыкновенным дел. на N (20logN вроде никто не отменял?). Здесь с выводами Богдана я не согласен.


Цитата(Green_Smoke @ Feb 1 2011, 07:04) *
Предлагаю сравнить прилагаемые схемы.

C Вашими рассуждениями согласен. Предлагаю Вам рассмотреть другой пример, который будет куда нагляднее. Для первой схемы A=8, B=7, Fвх=10, Fвых=560, а делитель на N выбрасываем (он, собственно, принципиальной роли не играет, только создаёт путаницу при обсуждении). Для второй схемы, соответственно, Fвх=10, Fвых=560, N=56). К сожалению, я выпадаю на несколько дней по работе, вернусь чуть позже (хотя, не сомневаюсь, Вы к тому времени вопрос уже закроете. Задача – наглядно показать, что ухудш. остаётся 20log56 sm.gif ).
Chenakin
Вижу, тема self-offset дальнейшего развития не получила. Посему на том и остановимся – сие есть весьма оригинальная реализация дробных коэффициентов, а улучшение шумов при “прочих равных” оставим на совести Богдана.


Цитата(Dr.Drew @ Jan 11 2011, 16:20) *
И ещё, хотелось бы увидеть спрект сигнала вместе с побочными спектральными составляющими. Голая цифра минус 70 как-то не устраивает. Интересно, где они находятся.

Dr.Drew, я тут немного “понападал” на Вас в соседней теме sm.gif , а сам так и не ответил напрямую на Ваш законный вопрос по спурам. Исправляюсь, прилагаю данные измерений на 10 ГГц и 9.987654321 ГГц (предвидя возможный вопрос, что будет, если уйти от Integer; кто пробовал некоторые новые benchtop-ы – поймёт о чём это я sm.gif ). Скажу сразу, никаких специальных ухищрений не делалось, просто попросил инженера взять первый попавшийся образец из партии (модель FSW-0010) и “посмотреть” спуры до –80 дБн при разных spans на 10 ГГц и с небольшой отстройкой на какой-нибудь “funny frequency” на его усмотрение.

Нажмите для просмотра прикрепленного файла

Как я раньше говорил, в целом, сигнал чистый до –80 дБн, шумы вблизи несущей - спектроанализаторские. Говорю “в целом”, потому что на отдельных частотах, бывает, вылезают “нерегулярные” спуры и уровнем повыше (винты несимметрично поджаты, ecosorb не так приклеен, и т.д.) “Регулярные”, т.е. соответствующие блок-диаграмме (например, спуры DDS, частоты сравнения PFD и т. д.) задавлены основательно – далеко за –80 (потому что знали где их ожидать). Можно ли гарантировать –80 или лучше? Наверное – да, но это товар не штучный, никто индивидуально мерить и подстраивать не будет. Есть производственная линия, стоит ATE, которая может промерить с десяток синтезаторов за день до –70; прошёл –70 – всё, дело сделано. Вот такой подход.
Dr.Drew
Такой вопрос по побочным спектральны составляющим (ПСС). Немного дилетантский. Для приборных синтезаторов уровень ПСС минус 80-70 - норма. Причём с течением времени эта цифра почти не меняется. С чем это связано? Проблемы с подавлением или отсутствие целесообразности в достижении уровей минус 110-100?
rloc
Цитата(Dr.Drew @ Feb 7 2011, 19:18) *
Для приборных синтезаторов уровень ПСС минус 80-70 - норма. Причём с течением времени эта цифра почти не меняется. С чем это связано? Проблемы с подавлением или отсутствие целесообразности в достижении уровей минус 110-100?

Любой производитель обязан гарантировать уровень ПСС во всем диапазоне своего прибора. Если написать 100-110 дБ, представляете сколько времени уйдет на измерение? Чтобы ускорить этот процесс можно конечно свипировать скачками, с промежуточным преобразованием Фурье, но тогда мы упираемся в SFDR АЦП, а оно тоже на сегодняшний день не очень высокое на больших промежуточных частотах.
VCO
Цитата(rloc @ Feb 7 2011, 21:03) *
Любой производитель обязан гарантировать уровень ПСС во всем диапазоне своего прибора. Если написать 100-110 дБ, представляете сколько времени уйдет на измерение? Чтобы ускорить этот процесс можно конечно свипировать скачками, с промежуточным преобразованием Фурье, но тогда мы упираемся в SFDR АЦП, а оно тоже на сегодняшний день не очень высокое на больших промежуточных частотах.

Думаю не только в этом дело. Помимо ограниченного динамического диапазона (мой спектроанализёр, например, не даёт измерить более 100 дБ), будут мешать палки самих приборов. Но и не только в этом дело. Такие спуры скорее всего мало кому нужны и труднодостижимы в подобного рода синтезаторах. А в серийном изделии в широком диапазоне температур гарантировать палки ниже -90 дБн - задача не из простых. В прошлом году загонял палки простых одноконтурных ФАПЧ ниже -100 дБн, удалось дотянуться только до -98 дБн. А тут DDS+PLL. Уже та динамика, что видна, на уровне фантастики! Я ещё долго так не смогу... sad.gif
Александр, а как лучше всего засинхронизировать узкополосный ЖИГ-фильтр с Вашим синтезатором? Хотим применить его в приложении, где палки в узкой полосе (20-40 МГц) допустимы до -70 дБн, а в широкой (более 100 МГц) - не более -110 дБн. При этом скорость перестройки не критична.
Green_Smoke
Цитата(Chenakin @ Feb 1 2011, 20:07) *
C Вашими рассуждениями согласен. Предлагаю Вам рассмотреть другой пример, который будет куда нагляднее. Для первой схемы A=8, B=7, Fвх=10, Fвых=560, а делитель на N выбрасываем (он, собственно, принципиальной роли не играет, только создаёт путаницу при обсуждении). Для второй схемы, соответственно, Fвх=10, Fвых=560, N=56). К сожалению, я выпадаю на несколько дней по работе, вернусь чуть позже (хотя, не сомневаюсь, Вы к тому времени вопрос уже закроете. Задача – наглядно показать, что ухудш. остаётся 20log56 sm.gif ).


Александр, ваше мнение и видение данного вопроса понятно.
В предлагаемом вами варианте все ясно. Просто Богдан несколько обнадёжил...

У меня есть еще вопрос. А в каком софте вы считаете схемы с офсетом и т.п. ?
Необходимо рассчитать параметры кольца ФАПЧ с учетом его устойчивости, получить ожидаемую характеристику фазовых шумов, ну и переходную характеристику.
Chenakin
Цитата(Dr.Drew @ Feb 7 2011, 08:18) *
Такой вопрос по побочным спектральны составляющим (ПСС). Немного дилетантский. Для приборных синтезаторов уровень ПСС минус 80-70 - норма. Причём с течением времени эта цифра почти не меняется. С чем это связано? Проблемы с подавлением или отсутствие целесообразности в достижении уровей минус 110-100?

Я бы сказал, с соотношением цена/целесообразность. Получить подавление за 100 можно, но вот гарантировать это в серийном изделии в широкой полосе с малым шагом выльется ну в очень хорошую цену, которую совсем не факт найдётся много желающих платить. А делать новый инструмент для очень узкого круга потребителей ни одна серьёзная фирма не отважится – затраты могут не окупиться. И, кстати, чем эти -110 мерить?

Цитата(rloc @ Feb 7 2011, 10:03) *
Любой производитель обязан гарантировать уровень ПСС во всем диапазоне своего прибора. Если написать 100-110 дБ, представляете сколько времени уйдет на измерение? Чтобы ускорить этот процесс можно конечно свипировать скачками, с промежуточным преобразованием Фурье, но тогда мы упираемся в SFDR АЦП, а оно тоже на сегодняшний день не очень высокое на больших промежуточных частотах.

Ну да, действительно, не делать же самим спектроанализатор для таких измерений. Интересно, но несколько компаний, как раз и заинтересовались именно таким применением QS – свипированим скачками (здесь важна скорость перестройки) с последующим собиранием спектра. При этом в каждой точке синтезатор is locked, что сохраняет информацию не только об амплитуде, но и о фазе, а это открывает доп. возможности при обработке сигнала.

Цитата(YIG @ Feb 7 2011, 11:15) *
Александр, а как лучше всего засинхронизировать узкополосный ЖИГ-фильтр с Вашим синтезатором? Хотим применить его в приложении, где палки в узкой полосе (20-40 МГц) допустимы до -70 дБн, а в широкой (более 100 МГц) - не более -110 дБн. При этом скорость перестройки не критична.

Ну, вот, только ушли от ЖИГа, и опять назадsm.gif . Но, как говорится, хозяин (т.е. заказчик) – барин. Если нужно подчистить спектр ЖИГом, то, конечно, это можно сделать. Причём, мне кажется, что возможно удасться обойтись и без каких-то особых ухищрений. Однако, если синхронизация действительно необходима, то я могу предложить попробовать два метода:

1. Использование общей магнитной структуры
Рассмотрим четырёх-резонаторную структуру, помещённую в общее магнитное поле. Один ЖИГ-резонатор используется для построения генератора, который ФАПЧ-уется простейшей вспомогательной ФАПЧ, чтобы выставить необходимую частоту и, тем самым, установить необходимое магнитное поле. Три других резонатора образуют фильтр. А т.к. магнитное поле общее, то частота фильтра выставляется (и поддерживается) автоматически с очень высокой точностью (практически соответстующей разрешению этой вспомогательной ФАПЧ). Просто и красиво. Проблема только в том, что врядли Вы найдёте готовый прибор, т.е. делать придётся самому. Хотя, возможно (судя по вашему нику), это как раз то, что Вас и заинтересует sm.gif .

2. Обычный ЖИГ фильтр
Загоняем ЦАПом фильтр заведомо ниже рабочий частоты и свипируем вверх. Измеряем уровень сигнала на выходе фильтра. Снимаем две точки (напряжение ЦАПа), соответствующие небольшой расстройке (т.е. чуть слева и справа от passband). Берём среднее арифметическое и считаем, что это и будет соотвествовать середине нашего passband (или по другому алгоритму, если АЧХ фильтра не симметричная). Если использовать однорезонаторный фильтр, то можно настроиться просто по макс. сигнала.


Цитата(Green_Smoke @ Feb 8 2011, 11:47) *
Александр, ваше мнение и видение данного вопроса понятно.
В предлагаемом вами варианте все ясно. Просто Богдан несколько обнадёжил...
У меня есть еще вопрос. А в каком софте вы считаете схемы с офсетом и т.п. ?
Необходимо рассчитать параметры кольца ФАПЧ с учетом его устойчивости, получить ожидаемую характеристику фазовых шумов, ну и переходную характеристику.

Обычно, Top-SPICE, который позволяет создавать свои модели компонентов. Хотя, т.к. миксер не изменяет параметры ФАПЧ, то в принципе, подойдёт любой симулятор, который Вы используете для самой обычной ФАПЧ. Помню, я в своё время успешно считал offset loops с помощью незабвенной ADI SimPLL (только с частотами приходилось немного “повозиться”, чтобы обмануть программу).
VCO
Цитата(Chenakin @ Feb 11 2011, 06:50) *
Ну, вот, только ушли от ЖИГа, и опять назадsm.gif . Но, как говорится, хозяин (т.е. заказчик) – барин.

Да, решение действительно мягко говоря нестандартное. Первоначально предполагалось в качестве синтезатора использовать ЖИГ-синтезатор собственной разработки и дополнительно чистить его ЖИГ-фильтрами. Но это решение восприимчиво к воздействиям вибрации, поэтому приемлемо только для стационарной аппаратуры. Для мобильных применений нужно научиться гасить вибрацию ЖИГ-генератора, а мы в плане современных технологий мехатроники пока слабо развиты. И сам ЖИГ-синтезатор пока под большим вопросом, его попросту некому здесь делать.
Цитата
Если нужно подчистить спектр ЖИГом, то, конечно, это можно сделать. Причём, мне кажется, что возможно удасться обойтись и без каких-то особых ухищрений.

Да, с помощью суперпрецизионного источника тока и термокомпенсации всех температурных дрейфов мне удавалось сканировать ЖИГ-фильтром частотное пространство до 40 ГГц с погрешностью 5 МГц в диапазоне температур 0 +70 oС, но в диапазоне -45 +85 o все составляющие погрешности резко увеличатся, предполагаю, что погрешность утроится. Термостатировать или подогревать систему нету возможности, итак потребление зашкальное. Да и калибровать такую систему в температуре и времени - задача не из простых. Хотим прощупать другие варианты.
Цитата
Однако, если синхронизация действительно необходима, то я могу предложить попробовать два метода:
1. Использование общей магнитной структуры
Рассмотрим четырёх-резонаторную структуру, помещённую в общее магнитное поле. Один ЖИГ-резонатор используется для построения генератора, который ФАПЧ-уется простейшей вспомогательной ФАПЧ, чтобы выставить необходимую частоту и, тем самым, установить необходимое магнитное поле. Три других резонатора образуют фильтр. А т.к. магнитное поле общее, то частота фильтра выставляется (и поддерживается) автоматически с очень высокой точностью (практически соответстующей разрешению этой вспомогательной ФАПЧ). Просто и красиво. Проблема только в том, что врядли Вы найдёте готовый прибор, т.е. делать придётся самому. Хотя, возможно (судя по вашему нику), это как раз то, что Вас и заинтересует sm.gif .

Классная идея! Правда фильтра третьего порядка может не хватить, думаю, нужен четвёртый порядок. Одна проблема - собрать такую систему очень сложно, у нас пока таких спецов нет.
Цитата
2. Обычный ЖИГ фильтр
Загоняем ЦАПом фильтр заведомо ниже рабочий частоты и свипируем вверх. Измеряем уровень сигнала на выходе фильтра. Снимаем две точки (напряжение ЦАПа), соответствующие небольшой расстройке (т.е. чуть слева и справа от passband). Берём среднее арифметическое и считаем, что это и будет соотвествовать середине нашего passband (или по другому алгоритму, если АЧХ фильтра не симметричная). Если использовать однорезонаторный фильтр, то можно настроиться просто по макс. сигнала.

Мысли по такой калибровке с помощью детекторной головки в голову приходили. Но мы не можем постоянно калиброваться, бОльшую часть времени надо работать. Поэтому, думаю, разработать разумное сочетание термокомпенсации с калибровкой в следящем режиме (по времени и необходимости), как это делается, например, в векторных анализаторах Anritsu.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.