Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Синтезаторы частот. От концепции к продукту.
Форум разработчиков электроники ELECTRONIX.ru > Аналоговая и цифровая техника, прикладная электроника > Rf & Microwave Design
Страницы: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63
Dr.Drew
Кстати, чтобы никто не обиделся и не уличил в плагиате, скажу сразу про управление от USB я подумал независимо от Радиокомп. Сегодня показали ссылку, где я увидел аналогичное решение.
rloc
Цитата(Dr.Drew @ Dec 17 2012, 17:56) *
чтобы никто не обиделся и не уличил в плагиате

Плагиат конечно, спору нет sm.gif Завтра подключусь к обсуждению.
VCO
Цитата(Dr.Drew @ Dec 17 2012, 13:23) *
Можно вариант с импульсником обыграть. С учётом кпд около 80%, ток падает на 20% при скидывании с 5 на 3,3 В.

Шуметь эта сволочь будет нещадно, если не использовать кварцованную ШИМ. Резонансный DC-DC имеет КПД выше 90 % и работает на одной высокой частоте (форма сигнала в трансформаторе - синусоида), которая элементарно давится и на малошумящие схемы не влияет. Ток по 3,3 В у Вас будет почти постоянный, поэтому он здесь имхо вполне применим (соотношение нагрузок до 5:1).
Цитата(Dr.Drew @ Dec 17 2012, 16:56) *
Кстати, чтобы никто не обиделся и не уличил в плагиате, скажу сразу про управление от USB я подумал независимо от Радиокомп. Сегодня показали ссылку, где я увидел аналогичное решение.

Придётся Вам забыть о USB, раз Радиокомп её застолбили. wink.gif Если серьёзно, то rloc Вам правильно подсказывает добавить SPI - существенно расширите рынок сбыта. От себя RS-485 порекомендую: всего 2 провода витой пары (+асинхронное прерывание для контроллера как бонус) и буфер MAX3362 много места и тока не отнимут (резистор-терминатор в режиме приёма не ваш ток кушает). Скорость для управления вполне даже айс - до 20 Мбит/c.
Chenakin
Цитата(Dr.Drew @ Dec 17 2012, 06:50) *
Таким образом, можно обойтись одним проводом питания/управления прибора, продающимся чуть ли не в продуктовой лавке. Скорость через USB немаленькая – красота.

Дело не в скорости, а в синхронизации каждого частотного шага с внешними устройствами (а иначе, зачем Вам эти 50-100 мксек?). Обычно задача решается либо триггерной линией (внешний сигнал ведёт перестройку в list mode), либо сигналом готовности (lock detect) для внешнего устройства. Как это будет решаться через USB?

Цитата(Dr.Drew @ Dec 17 2012, 06:50) *
шумах около минус 130 на 6 ГГц,
шаге перестройки 1 Гц
времени - 50-100 мкс
спурах - минус 70
по возможности, сделать простые аналоговые виды модуляции и АРМ
выходная мощность минус 30...15 дБм
гармоники минус 40.

Какой планируется частотный диапазон?

Цитата(Dr.Drew @ Dec 17 2012, 11:25) *
SPI я оставлять пока не хочу - только если место будет.

А придётся, наверное.

Цитата(Dr.Drew @ Dec 17 2012, 11:25) *
Типичное значение минус 70.

На 6 ГГц (и тип. к тому же) выглядит не столь оптимистично в сравнении с другими закладываемыми параметрами.

Цитата(Dr.Drew @ Dec 17 2012, 11:25) *
Погнали.
Берём структурную схему из статьи Бельчикова на 9 год. Мне схема нравится тем, что есть возможность пооптимизировать. Да и на патент Александра очень похожа.

Структ. схема не помешала бы, легче б было обсуждать.

Цитата(Dr.Drew @ Dec 17 2012, 16:56) *
Кстати, чтобы никто не обиделся и не уличил в плагиате, скажу сразу про управление от USB я подумал независимо от Радиокомп.

Цитата дня!

Цитата(VCO @ Dec 18 2012, 07:29) *
От себя RS-485 порекомендую: всего 2 провода витой пары (+асинхронное прерывание для контроллера как бонус) и буфер MAX3362 много места и тока не отнимут (резистор-терминатор в режиме приёма не ваш ток кушает). Скорость для управления вполне даже айс - до 20 Мбит/c.

Было бы интересно составить сводную табличку с разными используемыми интерфейсами со всеми их преим./недостатками. Хороший задел для новых разработок. Может кто возьмётся?



Цитата(rloc @ Dec 15 2012, 22:56) *
Знакомы?

Да, пересекались. Эрик – лучший синтезаторщик Agilent-а. В принципе, тут все друг друга знают, хороших специалистов не так уж и много.

Цитата(khach @ Dec 16 2012, 02:28) *
Да, это очень мешает в синтезаторах. Недавно крутил TRF372017 - ну что им стоило сделать коммутатор между ехсVCO и внутренним - такой бы хороший кирпич для синтезатора малогабаритного бы получился.

Это почти везде так, и у Analog, и у других. Да что там коммутатор! Просили - разорвите соединение между VCO и остальной начинкой и выведите на соседние выводы, чтобы можно было тут же на плате замкнуть, кому нужно. Но, нет, там лучше знают, как синтезатор должен работать! На самом деле, этот рынок для них просто не является приоритетным.
Dr.Drew
Добавляю информацию по дополнительным разъёмам:
Вход/выход опорного сигнала 10 МГц программно конфигурируемый либо на вход, либо на выход.
Триггерная линия, разумеется будет. В зависимости от конфигурации будет управлять импульсной модуляцией, прыжами по частоте, запускать свип и ещё чего-нибудь....
Линия сигнала готовности/захвата.
Вход аналоговой модуляции: угловой/амплитудной. Будет пограммно конфигурироваться на ЧМ, ФМ, АМ.
Итого: 4 разъёма MCX.
Про SPI подумаю. В принципе, раз уж есть контроллер, то SPI и RS какой-нибудь замутить не проблема. Остаётся выыделить место под разъёмы.
Диапазон частот 25 МГц - 6 ГГц.
Уровень спуров мне пока трудно оценить. Надо покопаться в описаниях HMC704.
Структуру попозже накидаю.
rloc
Цитата(Chenakin @ Dec 18 2012, 09:34) *
Структ. схема не помешала бы, легче б было обсуждать.

Неплохая защита от дурака. Есть такие специальные языки описания схем, называются HDL (hardware description language), а у нас свой - SDL (synthesizer description language) sm.gif

Цитата(Dr.Drew @ Dec 17 2012, 12:25) *
ДДС и ЧФД объединяем в одном корпусе микросхемы HMC704: на вход ГУН подаём умноженный сигнал, дробный делитель используем в качестве ДДС 50-100 МГц с шагом около 1 Гц, на опорный вход подаём ПЧ.

Сразу такая мысль не пришла в голову. A почему собственно сам ГУН не подать на вход радиочастоты, при сохранении IF на опорном входе? Т.е. PLL будет стабилизироваться косвенным образом - кварцевой подставкой. Это чтобы 2 ГГц не шибко идеально фильтровать, для отсеивания лишних спур, как при плохом тактировании DDS.
Тоже никак не могу разобраться, какие реально спуры у 704? Киньте кто-нибудь картинок на диапазон 2 ГГц в полосе 100 кГц в дробном режиме для самого плохого случая. В качестве альтернативы можно взять ADF4159 - там вроде такой же дельта модулятор, как и в 4153, а с последней я сталкивался - не хуже -80 дБн получалось.
Dr.Drew
Там самые высокие - Integer Boundary Spur. Их можно пересчитать на вход ЧФД со стороны делителя частоты снижением уровня на известный коэффициент. Такой уровень должен получиться в системе с вывернутым HMC704.
Думаю над выходным усилителем. Сколько мощности может потребоваться от такого прибора? Соцопрос...
rloc
-55 ... -60 дБн ? На каких частотах? При таком раскладе, если привести к частоте ФД (+26 дБ), где-то на пределе будет.
Dr.Drew
Если ничего не подписано под рисунком, считать, что 8 ГГц с частотой сравнения 50 МГц. Из этой кривулины вычитаем 44 дБ и видим аж под сотню. По-моему, заявленные минус 70 получатся...
Radiocomp
ООО "Радиокомп" никоим образом не претендует на изобретение синтезатора частот с USB, т.к. давно известны USB-осциллографы и прочие USB-приборы. Также ООО "Радиокомп" не считает Dr.Drew плагиатором wink.gif
На мой взгляд в разработке синтезатора нужно
1. Иметь конкретного заказчика
2. Идти от его пожеланий.
Мы действительно думаем сделать простенький синтезатор частоты на HMC833 или ADF4351. Специально для изучения потенциального спроса повесили это объявление на сайте. Результаты изучения спроса - весьма грустные: количество заинтересовавшихся таким прибором за полгода, что объявление висит на сайте можно пересчитать по пальцам одной руки. Что несколько странно т.к., например, дешевые анализаторы спектра продаются очень хорошо. Может действительно потребителю нужны хорошие шумы. В любом случае удачи и записываюсь в очередь на приобретение синтезатора sm.gif

P.S. Извините, что отвечаю с опозданием, конец года - много работы.
khach
Цитата(Dr.Drew @ Dec 18 2012, 09:58) *
Вход/выход опорного сигнала 10 МГц программно конфигурируемый либо на вход, либо на выход.

Если есть выход- то значит есть локальная опора. Тогда в режиме входа очень желательно иметь ФАПЧ локальной опоры от 10 Мгц. Как опция- вход ВЧ опоры с максимально чистым спектром.
Цитата
Триггерная линия, разумеется будет. В зависимости от конфигурации будет управлять импульсной модуляцией, прыжами по частоте, запускать свип и ещё чего-нибудь....
Линия сигнала готовности/захвата.

Тогда уж пара цифровых входов, для быстрой прогрузки из таблиц- как у ДДСов от АД сделаны входы PS и к ним триггер и строб подтверждения- что позволит синхронизировать несколько модулей.
Вообще то было бы неплохо придумать типа стандарта на 10 пиноввый разем для управления синтезатором.
Типа международный стандарт Электроникса :-)
Цитата
Про SPI подумаю. В принципе, раз уж есть контроллер, то SPI и RS какой-нибудь замутить не проблема. Остаётся выыделить место под разъёмы.

SPI обязательно. Вот только длину кода управления надо бы обсудить. Чем короче- тем бвстрее можно управлять синтезатором по таблицам. Иногда 40 битные слова управления здорово тормозят систему.
А вот USB- отдельная коробочка с гальванически развязанным SPI и стробами триггеров на выходе. А то при общих землях с компом таких спуров набраться можно, что разговоры от шумах -110 дбс будут восприниматься с улыбкой.
VCO
Цитата(Radiocomp @ Dec 18 2012, 13:02) *
Специально для изучения потенциального спроса повесили это объявление на сайте. Результаты изучения спроса - весьма грустные: количество заинтересовавшихся таким прибором за полгода, что объявление висит на сайте можно пересчитать по пальцам одной руки. Что несколько странно т.к., например, дешевые анализаторы спектра продаются очень хорошо. Может действительно потребителю нужны хорошие шумы.

Потребителю нужны разные синтезаторы. То, что опора встроена и нету дополнительной опции внешней опоры довольно часто ограничивает количество заказчиков. Но и это не самое главное. Часто условия эксплуатации большинства продаваемых синтезаторов никак не удовлетворяют их требованиям. Не на последнем месте и управляющий интерфейс, USB здесь часто хорош лишь для отладки на ранней стадии. Как ни странно, даже фазовые шумы, заявленные Вами чаще всего устроят потенциального заказчика. А вот спуры -70 дБн - ни в какие ворота. Если требования по ФШ за последние десяток-другой лет поднялись дБ на дцать, то эти позорные -70 давно пора снижать до -90 (имхо). Ну и время перестройки - один из самых важных параметров. Всё остальное дополните сами...
В общем, рынок синтезаторов имеет несколько меньшие обороты, чем рынок помидоров, сигарет или джинсев, прежде всего потому, что потребители более вредные. wink.gif
тау
Цитата(VCO @ Dec 18 2012, 08:29) *
Шуметь эта сволочь будет нещадно, если не использовать кварцованную ШИМ. Резонансный DC-DC имеет КПД выше 90 % и работает на одной высокой частоте (форма сигнала в трансформаторе - синусоида), которая элементарно давится и на малошумящие схемы не влияет. Ток по 3,3 В у Вас будет почти постоянный, поэтому он здесь имхо вполне применим (соотношение нагрузок до 5:1).

нерезонансные step-down с синхронным выпрямителем вместо нижнего шоттки имеют 95% в условиях 5-->3.3V при токе выхода 0.5A. Помеха в виде палки пролезает по основной гармонике , остальные гармоники ШИМа ниже и гораздо. Это когда понижальщик размещен на одной плате с СВЧ частью и фапчом. При применении не самых крутых мер по экранированию, но гибридной плате с промежуточной медью 0.2mm . Предлагаемая Вами резонансная схема, пмсм , только увеличит уровень этой первой гармоники за счет самого резонанса и большой реактивной мощности индуктора. Имхо также, что фильтровать её просто и только в питании - бесполезно.
VCO
Цитата(тау @ Dec 18 2012, 14:39) *
Предлагаемая Вами резонансная схема, пмсм , только увеличит уровень этой первой гармоники за счет самого резонанса и большой реактивной мощности индуктора. Имхо также, что фильтровать её просто и только в питании - бесполезно.

Спорить не буду, так как я её в синтезаторах не применял, а применял в сверхмалошумящих схемах звукового-ультразвукового диапазона, где она по частоте была много выше граничной частоты. Вообще стараюсь избегать любых импульсных преобразователей в генераторах и синтезаторах, так как они создают проблемы не только по ЭМС, но и по радиочастотной земле. Ежели мне приходится тактовый генератор полностью вырубать, о том, как будет гадить ШИМ даже и думать не хочу.
Но опробовать такой резонансный DC-DC конвертор нетрудно на уже имеющихся схемах, равно как и ШИМ. Я помню, что Вы LT-шные уже опробовали, но то были несколько иные, нежели ширпотребные DC-DC-конверторы. Если они привносят палки ниже -90 дБн, снимаю шляпу...
Chenakin
Цитата(VCO @ Dec 18 2012, 15:06) *
В общем, рынок синтезаторов имеет несколько меньшие обороты, чем рынок помидоров, сигарет или джинсев, прежде всего потому, что потребители более вредные. wink.gif

Цитата(Radiocomp @ Dec 18 2012, 14:02) *
Результаты изучения спроса - весьма грустные: количество заинтересовавшихся таким прибором за полгода, что объявление висит на сайте можно пересчитать по пальцам одной руки.

Таковы реалии. А заодно и ответ на вопрос, почему QS не включает вход для внешней опоры 100 МГц, почему нельзя шумы чуть подправить около 1 МГц, почему скорость до 10 мксек нельзя довести и т.д., и т.п. Ну почему ж нельзя? Это всё из той же серии, почему в IC нельзя между VCO и делителем втиснуться. Реальные требования рынка и мечты инженеров-разработчиков – это две большие разницы.

Цитата(khach @ Dec 18 2012, 14:47) *
Вообще то было бы неплохо придумать типа стандарта на 10 пиноввый разем для управления синтезатором.

Давайте. Вот, могу предложить в качестве отправной точки - результат тех же самых размышлений, только 10-летней давности (во, время бежит!).

Нажмите для просмотра прикрепленного файла

Разъём 20-пиновый, но если отбросить продублированные и N/C, то будет как раз 10 (правда через N/C у нас там втиснуты и другие интерфейсы, которые не показаны, в частности RS-232). Другие детали можно посмотреть здесь:

Spec_Sheets/qscomspec.pdf

Цитата(Dr.Drew @ Dec 18 2012, 13:12) *
Сколько мощности может потребоваться от такого прибора?

1 Ватт всех удовлетворит (из той же серии), а если реально, то: 6 ГГц: +17 дБм, 10 ГГц: +15 дБм, 20 ГГц: +13 дБм.
Radiocomp
Цитата
В общем, рынок синтезаторов имеет несколько меньшие обороты, чем рынок помидоров, сигарет или джинсев, прежде всего потому, что потребители более вредные.


Давайте посмотрим на вопрос шире. А есть ли вообще рынок синтезаторов в России ? По моим ощущениям если и есть, то его объем исчезающе мал. На мой взгляд, мы по старой привычке все пытаемся делать сами. Приобрести готовую вещь и встроить в свой проект - пока на это решаются немногие. А те немногие, что решаются, скорее всего попросят доработать синтезатор под их конкретные требования (потому что вредные sm.gif ). Может дальше лучше будет wink.gif
VCO
Цитата(Radiocomp @ Dec 18 2012, 20:23) *
Давайте посмотрим на вопрос шире. А есть ли вообще рынок синтезаторов в России ? По моим ощущениям если и есть, то его объем исчезающе мал. На мой взгляд, мы по старой привычке все пытаемся делать сами. Приобрести готовую вещь и встроить в свой проект - пока на это решаются немногие. А те немногие, что решаются, скорее всего попросят доработать синтезатор под их конкретные требования (потому что вредные sm.gif ). Может дальше лучше будет wink.gif

Вот здесь Вы абсолютно правы! a14.gif Именно по этим соображениям в теме присутствуют такие дилетанты (чайники), как я. Сделать синтезатор или генератор в надёжном, герметичном и виброударопрочном корпусе, подобрать элементную базу для диапазона -60+85, отладить и испытать изделие отдельно и в радиокомплексе, в конце концов - отремонтировать его, ВСЁ ЭТО ДОРОГО СТОИТ. Вы же не будете этим заниматься! Я уже имею десятилетний опыт заказа синтезаторов у сторонних производителей и могу твёрдо сказать, что проблем с ними возникает ничуть не меньше, чем с моими собственными изделиями. И это при том, что я пока чайник в деле синтеза, а Вы - профессионалы...
...Не буду делать антирекламу, но в этом году мне довелось словить суперглюк от одного из исполнителей заказа: синтезатор устойчиво глючил от -10 до 0 0С, а во всём остальном диапазоне температур от -45 до 85 0С исправно работал, такое трудно забыть. Если кто-либо сможет сделать действительно универсальные и надёжные синтезаторы для любого применения (на мой взгляд - утопия!), я с огромным удовольствием брошу эту тематику и займусь ЦОС или программированием бортовых компьютеров, с которых начинал свой путь в фирме. А пока - ждём-с...
Chenakin
Цитата(Radiocomp @ Dec 18 2012, 09:23) *
Давайте посмотрим на вопрос шире. А есть ли вообще рынок синтезаторов в России ? По моим ощущениям если и есть, то его объем исчезающе мал. На мой взгляд, мы по старой привычке все пытаемся делать сами.

Подход понятный, но нерациональный. Гораздо эффективнее было бы Dr.Drew сосредоточиться на разработке компонентов СВЧ, VCO на цифровом интерфейсе, Rloc на системном алгоритме и т.д. (условно говоря, каждый может выбрать сам), чем каждый раз переизобретать велосипед (это, если ещё получится переизобрести, а не убедить себя, что самокат круче). Собственно, это отличие соц. плановой и/или глобальной экономики (кому что нравится) от феодальной раздробленности, когда каждый сам себе и жнец, и швец (да ещё и жрец). Увы, таковы реалии.
VCO
Цитата(Chenakin @ Dec 19 2012, 18:39) *
Собственно, это отличие соц. плановой и/или глобальной экономики (кому что нравится) от феодальной раздробленности, когда каждый сам себе и жнец, и швец (да ещё и жрец). Увы, таковы реалии.

Реалии таковы, что у нас 50-70% синтезаторов - это спецтехника для госзаказа, в т.ч. МО. Там есть жёсткие (иногда даже черезчур) требования к изделиям, в т.ч. по интерфейсам, комплектующим, условиям эксплуатации и помехозащищённости. Если делать отечественную разработку с учётом всех этих требований, изделие получится довольно дорогим и неконкурентоспособным в других сферах применения. Достаточно будет сказать, что в моих синтезаторах примерно четверть платы - цепи защиты от перенапряжения, переполюсовки, перегрузки по току и внешних импульсных и радиочастотных помех. Кроме того, уровень ПСС не выше -90 дБн (в некоторых случаях - не выше -100 дБн, а один раз с меня потребовали гарантировать их полное отсутствие во всём спектре, что было обеспечено с помощью системы фильтров). Время перестройки иногда не более 10 мкс, а иногда - чем быстрее, тем лучше (откуда и родилась планка 1 мкс). Ну и температурный диапазон - сами знаете какой широкий.
Я - мелкий потенциальный заказчик, но таких заказчиков в Россиии достаточно много. Если кто-то сможет печь "пирожки", удовлетворяющие всем этим жёстким требованиям, он застолбит достаточно надёжный и устойчивый рынок сбыта. Пока желающих не так уж много...
rloc
Цитата(Dr.Drew @ Dec 18 2012, 10:58) *
Вход аналоговой модуляции: угловой/амплитудной. Будет пограммно конфигурироваться на ЧМ, ФМ, АМ.
Итого: 4 разъёма MCX.

А нужны ли эти виды модуляции при хороших шумах? Аналоговая ЧМ и ФМ убьет все шумы, с экономической точки зрения пользователю выгоднее встроить в свою систему однопетлевую структуру.

Цитата(Dr.Drew @ Dec 18 2012, 13:12) *
Сколько мощности может потребоваться от такого прибора? Соцопрос...

Действительно сложный вопрос. С точки зрения пользователей - мощности всегда мало. Всегда хочется иметь возможность подать и на смеситель с высоким IP3 и на кабель 10-метровой длины. Всем не угодить. А вот если будет АРМ, желательно предусмотреть ее регулировку вместе с таблицей частот, для учета и кабеля и внешнего усилителя.
Dr.Drew
Цитата(Chenakin @ Dec 19 2012, 19:39) *
Подход понятный, но нерациональный. Гораздо эффективнее было бы Dr.Drew сосредоточиться на разработке компонентов СВЧ, VCO на цифровом интерфейсе, Rloc на системном алгоритме и т.д. (условно говоря, каждый может выбрать сам), чем каждый раз переизобретать велосипед (это, если ещё получится переизобрести, а не убедить себя, что самокат круче). Собственно, это отличие соц. плановой и/или глобальной экономики (кому что нравится) от феодальной раздробленности, когда каждый сам себе и жнец, и швец (да ещё и жрец). Увы, таковы реалии.

Александр, лично я считаю, что, владея разработкой СВЧ-генераторов, можно создавать более эффективные синтезаторы, несмотря на гигантский рынок первых. Это я сейчас и пытаюсь сделать хотя бы в прототипе, будучи изначально синтезаторщиком приобретшим сильный уклон в генераторы. Хотя я не прочь отдать одну из составных частей знающему студенту или молодому специалисту, если таковой объявится. Пока не видать.

Цитата(rloc @ Dec 20 2012, 10:59) *
А нужны ли эти виды модуляции при хороших шумах? Аналоговая ЧМ и ФМ убьет все шумы, с экономической точки зрения пользователю выгоднее встроить в свою систему однопетлевую структуру.

Я же не простой свисток пытаюсь сделать. Тем более заложенные решения уже позволяют реализовать модуляции минимальными телодвижениями.
Цитата(rloc @ Dec 20 2012, 10:59) *
Действительно сложный вопрос. С точки зрения пользователей - мощности всегда мало. Всегда хочется иметь возможность подать и на смеситель с высоким IP3 и на кабель 10-метровой длины. Всем не угодить. А вот если будет АРМ, желательно предусмотреть ее регулировку вместе с таблицей частот, для учета и кабеля и внешнего усилителя.

14-15 дБм получается. Похоже, гармоники будут на уровне минус 20 дБн - много теряется на фильтрах и вытянуть более мощным усилком пока не получается. АРМ пока не закладываю. Управление мощностью пока как в QS. Хотя есть мысли поставить направленный детектор.
rloc
-Фильтры, -АРМ = габариты крупной USB-флешки?
Chenakin
Цитата(VCO @ Dec 20 2012, 07:32) *
Реалии таковы, что у нас 50-70% синтезаторов - это спецтехника

Это понятно, я немного о другом. Ну да ладно, это уже будет отход от темы, давайте лучше вернёмся к нашим синтезаторам. Вопрос по ходу дела (пока Dr.Drew колдует над ст. схемой) – применяете ли Вы параллельный интерфейс и каковы его характеристики (кол-во и назначение выводов, скорость и т.д. – если не секрет, конечно, я просто хочу сверить часы).

Цитата(rloc @ Dec 20 2012, 09:59) *
А вот если будет АРМ, желательно предусмотреть ее регулировку вместе с таблицей частот, для учета и кабеля и внешнего усилителя.

Очень полезный наворот. В QuickSyn предлагается функция калибровки выходной мощности, когда пользователь может сам откалибровать прибор без пересылки производителю. На самом деле это позволяет откалиброваться не только на выходе QS, но и в любой другой точке после добавления внешего усилителя, кабеля и т.д. – как раз то, что Вы хорошо заметили.

Цитата(Dr.Drew @ Dec 20 2012, 19:26) *
Александр, лично я считаю, что, владея разработкой СВЧ-генераторов, можно создавать более эффективные синтезаторы

Несомненно, я о другом (проехали).

Цитата(Dr.Drew @ Dec 20 2012, 19:26) *
Я же не простой свисток пытаюсь сделать.

Свисток – это CW или просто такая фигура речи? Какой маркет Вы видите для данной разработки? Только внутренний или будут внешние заказчики? Какие потенциальные применения?

Цитата(Dr.Drew @ Dec 20 2012, 19:26) *
Тем более заложенные решения уже позволяют реализовать модуляции минимальными телодвижениями.

Не спешите, там будет ещё, с чем повозиться.

Цитата(Dr.Drew @ Dec 20 2012, 19:26) *
Похоже, гармоники будут на уровне минус 20 дБн

Скорее всего -15, т.е. на инструментальное применение не тянет (см. вопрос выше). Тогда, действительно, зачем модуляция (вопрос rloc) и т.д.?

Цитата(Dr.Drew @ Dec 20 2012, 19:26) *
14-15 дБм получается.

Берите 15. Чисто психологически (к тех. аспектам отношения не имеет) следует использовать нечётные числа (такие вот маркетинговые заморочки, не вдаваясь в детали).

Цитата(rloc @ Dec 20 2012, 19:43) *
-Фильтры, -АРМ = габариты крупной USB-флешки?

Давайте считать. 25 МГц – 6 ГГц (диапазон, заявленный Dr.Drew) – это примерно 8 октав. Если использовать 3 фильтра на 2 октавы (что может быть даже несколько агрессивно), получаем 12 фильтров. Но это ещё полбеды. Эти 12 каналов надо раскоммутировать, т.е. добавить соответствующее кол-во переключателей. Ещё одна собака зарыта в том, что некоторые switch-и сами генерируют гармоники, сводя на нет всю фильтрацию (я к тому, что к выбору переключателей нужно подходить аккуратно). В итоге флешка может чересчур крупной получиться.
Теперь АРМ. Какой девайс планируется использовать (я так понимаю, разговор идёт о напр. ответвителе, перекрывающем 25М-6ГГц)?
VCO
Цитата(Chenakin @ Dec 21 2012, 06:23) *
Это понятно, я немного о другом. Ну да ладно, это уже будет отход от темы, давайте лучше вернёмся к нашим синтезаторам.

А это был не отход от темы, а скорее ответ на голосование и вопрос Radiocomp. И мало того, именно у них есть все возможности застолбить тот рынок, о котором я говорю. Ну да ладно, думаю они и сами это всё прекрасно понимают, только решиться на 5-ю приёмку имхо трудно. Подход типа: "Мамай кланусь - будыт работат!" здесь не прокатит. Для наглядного примера - деятельность Морион, они решились на 5-ю приёмку уже давно.
Цитата
Вопрос по ходу дела (пока Dr.Drew колдует над ст. схемой) – применяете ли Вы параллельный интерфейс и каковы его характеристики (кол-во и назначение выводов, скорость и т.д. – если не секрет, конечно, я просто хочу сверить часы).

Александр, я принял Ваше предложение сделать обзор по интерфейсам и на каникулах постараюсь его сделать. Вы помните, что наши каникулы начинаются ровно на неделю позже ваших. Параллельный интерфейс применяю, и я уже об этом недавно писАл - это LVDS, пока 4-разрядный однонаправленный (количество проходных фильтров ограничивает, LockDetect вывожу отдельно) без стробирования (ПЛИС анализирует состояние входов и их изменение в асинхронном режиме). Возможная скорость по моему интерфейсу - до 200 Мбит/c (с учётом затухания в фильтрах, тактовой скорости ПЛИС и разделения тетрад). Часто хватает одной тетрады (при настройке на передачу информации о частоте для крупного шага), поэтому перестройка по частоте происходит с минимально возможной задержкой. Более подробно не могу описАть.
Dr.Drew
Да, CW - свисток.
Вместо НО с детектором можно использовать НД. Погуглите "Agilent 83036C Coaxial GaAs Directional Detector".
Чтобы фильтрануть весь диапазон я насчитал 16 фильтров. Хотя, можо сыграть на том, что делители частоты по определению выдают подавленную вторую гармонику - треть фильтров удастся выкинуть.
По поводу маркетов я считаю, что жизненно необходимо выходить за пределы российского рынка. Там, очевидно, и рынок будет больше (или хотя бы будет), и "проверить на прочность" существующие разработки, и сделать новые более качественные приборы.
Применение - тестовое оборудование, думаю, и для связи хорошо пойдёт.
VCO
Цитата(Dr.Drew @ Dec 21 2012, 07:07) *
По поводу маркетов я считаю, что жизненно необходимо выходить за пределы российского рынка. Там, очевидно, и рынок будет больше (или хотя бы будет), и "проверить на прочность" существующие разработки, и сделать новые более качественные приборы.
Применение - тестовое оборудование, думаю, и для связи хорошо пойдёт.

Бог Вам в помощь, но этот рынок будет тяжело завоевать! Кроме того, теперь Вы станете прямым конкурентом Александру. wink.gif
Собственно говоря, мы здесь все в некоторой степени конкуренты, но видимо всех оставшихся удерживает в теме что-то большее.
Я бы назвал это профессиональной солидарностью работников умственного труда на просторах частотного синтеза (витеевато). sm.gif
ledum
BTW. Интерфейсы. Сейчас сижу над двухмегабитником. Не смеяться - но слишком многие хотят такое (не в Союзе) - совместимость со старьем. А в основном - Эзернет, иногда с ПОИ (питалово через интерфейс). Расстояние имеет значение. Большое. Обычная величина - 200-300м. Бывает до 600м. USB по многим параметрам не нравится. Не только дальность. Плюс как и у VCO - ADUM-ы и куча грозозащит. Хотя совсем не военка.
Надо все-таки определить задачу. Ибо пока вырисовывается что-то по типу сигнал хантера, но в виде генератора. А вот надо ли такое?
Sergey Beltchicov
Почему-то никто не задает одного вопроса (типа и так знают). А вот я спрошу: для меня один из центральных моментов, когда в малошумящей офсетной схеме ЖИГ меняется на ГУН, это как решить проблему Frequency acquisition. Лично для себя я выделил два рабочих способа: как у Эрика, и как в квике. Вы то, Андрей, как эту проблему собрались решать. Как Александр или как Эрик? А то из Ваших подсчетов по потреблению у меня создается впечатление, что у Вас как будто не хватает компонентов. Либо Ваша схема ничего не имеет общего с той, которая упоминалась в статье 2009 года.
VCO
Цитата(rloc @ Dec 18 2012, 12:03) *
Неплохая защита от дурака. Есть такие специальные языки описания схем, называются HDL (hardware description language), а у нас свой - SDL (synthesizer description language) sm.gif

Да, прогулялся по морозцу, подумал и решил: заодно с интерфейсами возмусь-ка я за написание языка, но только не SDL, a VSDL (VCO-synthesizer description language). sm.gif
VHDL я уже знаю, несколько томиков Бибило у меня уже есть, осталось свой томик в Artech House запустить. Того, кто первым выложит pdf-ник, прибью старым вонючим тапком! twak.gif
Dr.Drew
Цитата(Sergey Beltchicov @ Dec 21 2012, 12:14) *
Почему-то никто не задает одного вопроса (типа и так знают). А вот я спрошу: для меня один из центральных моментов, когда в малошумящей офсетной схеме ЖИГ меняется на ГУН, это как решить проблему Frequency acquisition. Лично для себя я выделил два рабочих способа: как у Эрика, и как в квике. Вы то, Андрей, как эту проблему собрались решать. Как Александр или как Эрик? А то из Ваших подсчетов по потреблению у меня создается впечатление, что у Вас как будто не хватает компонентов. Либо Ваша схема ничего не имеет общего с той, которая упоминалась в статье 2009 года.

Всего хватает. Сейчас расчётное потребление где-то 480 мА. Характеристики указывались по ходу дела. Схема очень похожа на Вашу. По поводу правильного и надёжного захвата проблем быть не должно. MAX2870 - это 64 ГУН диапазона 3-6 ГГц. Проблема неактуальна.
Sergey Beltchicov
Цитата(Dr.Drew @ Dec 21 2012, 17:34) *
Проблема неактуальна.


Ну если не актуальна rolleyes.gif , то у меня вопросов больше нет.
khach
Цитата(Dr.Drew @ Dec 21 2012, 15:34) *
MAX2870 - это 64 ГУН диапазона 3-6 ГГц. Проблема неактуальна.

А как быстро получается синхронизировать номер ГУНа у двух MAX2870 при работе с оффсетом по частоте? По таблице прписывать ручками или оставить конечному автомату каждой микрухи на свое усмотерние? А если гуны связаня по напряжению управления для выбора правильной боковой полосы? Т.е когда ФАПЧ первого ГУНа управляет обеими микросхемами, а сигнал со второй ФАПЧ подмешивается к первой с нужной полярностью?
rloc
Цитата
The fundamental VCO frequency of the device guarantees gap-free coverage from 3.0GHz to 6.0GHz using four individual VCO core blocks with 16 sub-bands within each block. Connect the output of the loop filter to the TUNE input. The TUNE input is used to control the VCO.


Поразмышляю немного вслух о предварительной установке частоты. В среднем полоса поддиапазона ГУН получается около 80 МГц с учетом 20% перекрытия по частоте, сложно понять в каких пределах она меняется и как смещаются границы по температуре. Полагаться на автомат нельзя, про оффсетную схему он не знает. Неплохо иметь на борту частотомер или возможность отключения смесителя, чтобы в момент включения откалиброваться и определить границы. К сожалению это не дает 100% гарантии попадания на нужную частоту во всем температурном диапазоне, но есть шанс быстро переключиться на соседний поддиапазон.
Dr.Drew
Уже третью неделю жду ответа от дистров Maxim по поводу всех 64 регулировочных характеристик ГУН, в т.ч. в температуре. Буду думать над калибровка. По идее, будет производиться разовая калибровка, возможно, на этапе производства. В общем, да, ручками забивать табличку.
Сергей, расскажите, чего у Вас нового. Давно не было видно. Как дела с сапфировым синтезатором?
rloc
По информации из AN5498 типичная крутизна хар-ки составляет 100 МГц/В, значит в пределах питания следует ожидать диапазон 300...350 МГц, или с учетом рабочих напряжений 0.5...2.5 В - около 200 МГц. Много.
тау
К удивлению обнаружил что для MAX2870 у них на сайте есть симулятор интерактивный ( для оффлайн симуляции скачать не дает) с возможностью сохранения результатов в ПДФ-ку. Для разных выходных частот последняя кривулинка времени установления частоты показывает разные ступеньки поддиапазонов ГУНнов. файл примера симуляции во вложении.

khach, может у вас есть информация , раз уж возились с этой микросхемой, как у неё с неравномерностью мощности по всему диапазону 3-6 Ghz когда на выходе запаяно что-то постоянное, типа 50 Ом?
А то вот смущает фраза из даташита
Цитата
Across the entire frequency range different pullup elements (L or R) are required for optimal output power.


ps/ забыл сказать , что требуется регистрация на сайте Максима , но это бывает неприятно в связи с тем что Ваши регистрационные данные появятся в открытом доступе , типа тут: http://www.maximintegrated.com/ee_sim/admin/registrants.mvp. Поэтому , регистрируясь не указывайте рабочее мыло, лучше времееное , чтоб спам ограничить , ну и далее , по степени параноидальности.
Chenakin
Цитата(rloc @ Dec 21 2012, 21:23) *
К сожалению это не дает 100% гарантии попадания на нужную частоту во всем температурном диапазоне, но есть шанс

Как-то не очень оптимистично это всё звучит. Нет, тут уж надо именно что 100% железные гарантии. Не буду пока сильно ругаться - не знаком я с этой IC, надо будет поближе на выходные взглянуть.

Цитата(Dr.Drew @ Dec 22 2012, 07:40) *
По идее, будет производиться разовая калибровка, возможно, на этапе производства.

Это уверенности совсем не добавляет. И честно говоря, к калибровке я отношусь не очень хорошо. На этапе разработки QS встала та же проблема, и первая естественная мысль – использовать ЦАП для предустановки частоты. Но на 20 ГГц ни о какой IC с 64 ГУНами мечтать не приходилось, чувствительность зашкаливает (причём резко нелинейная и ещё и не монотонная). Та же песня – по идее должно работать, хотя 100% гарантию дать сложно, разве что с калибровкой, да и то... Развлекались ещё с self-calibration, когда ГУН захватывается, напряжение идёт на АЦП, запоминается и далее след. раз на ЦАП и т.д. В общем, после долгих мыследвижений пришлось стукнуть кулаком по столу и сказать: ”Хватит себя обманывать. Нужны 100% железные гарантии. Точка.” В результате и появилось всем известное решение (обсуждали не так давно на семинаре), которое даёт абсолютно 100% попадание – точка в точку. И никаких калибровок.

Но и это не всё. А что, если будет какой-то сбой (это уже на уровень выше – анализ внешнего воздействия)? Добавили дополнительную (т.е не входящую в саму PLL, redundant) цепь для контроля попадания. Идея простая. Сигнал с ГУНа идёт на prescaler и далее на ДДС (без офсета). ДДС работает в режиме fracN, генерируя определённую (фиксированную) частоту. Т.е. каждый раз при переходе на новую частоту синтезатора ДДС перепрограммируется, чтобы его выход оставался постоянным и сравнивался с локальной внутренней опорой (потом это всё удалось существенно упростить, но принцип тот же). Если равенство соблюдается (в дополнение к фаз. захвату, что в отдельности не является достаточным в офсетных схемах) – всё OK, если нет, то процессор перезапускает процедуру настройки синтезатора на эту же частоту. Этот процесс называется lock recovery. Процессор пытается три раза восстановить контроль частоты, если не удаётся, то он выдаёт сигнал, что синтезатор не исправен.

Вот, как-то так, покороче не получилось. А то, попадёт не попадёт – не серьёзно это как-то. Давайте проверять.
khach
Цитата(тау @ Dec 22 2012, 13:32) *
К удивлению обнаружил что для MAX2870 у них на сайте есть симулятор интерактивный

Спасибо за ссылку- будем изучать.

Цитата
khach, может у вас есть информация , раз уж возились с этой микросхемой, как у неё с неравномерностью мощности по всему диапазону 3-6 Ghz когда на выходе запаяно что-то постоянное, типа 50 Ом?

Пока достоверной информации нет, т.к мы игрались с MAX2870 на плате от ADF4350, а там топлогия оставляет желать лучшего, особенно на 6 ГГЦ. Так что неравномерности были вплоть до стрыва захвата ФАПЧ на некоторых частотах. Надо топологию отдельно отработать для широкополосного использования 2870.

Цитата(Dr.Drew)
Уже третью неделю жду ответа от дистров Maxim по поводу всех 64 регулировочных характеристик ГУН, в т.ч. в температуре

Я предпочел завести напряжение управления ФАПЧ на АЦП контроллера через буферный усилитель и самому снимать калибровочную характеристику. Как оказалось, MAX2870 из двух разных партий имеюь совершенно раные границы диапазонов. Хотя, справедливости ради, одна из этих партий была семплами. Так что режим работы когда номер ГУНа вычитывается из одной имкросхемы и записывается во вторую, возможно с минималлной коррекцией на +-1 не всегда работает- нужен или предварительнй отбор микросхем, чтов этом корпусе затруднительно, или калибровка с составлением таблиц. Или запайка на субмодуля с подборкой в пары субмодулей.
VCO
Цитата(Chenakin @ Dec 23 2012, 03:03) *
В общем, после долгих мыследвижений пришлось стукнуть кулаком по столу и сказать: ”Хватит себя обманывать. Нужны 100% железные гарантии. Точка.” В результате и появилось всем известное решение (обсуждали не так давно на семинаре), которое даёт абсолютно 100% попадание – точка в точку. И никаких калибровок.

Примерно к такому же решению пришёл год назад самостоятельно, когда уповал на предустановку с "бешеным" октавным ГУНом DCYR400800 от Synergy, который упорно срывался в климатике по непонятным причинам. Но удалось таки вытащить...
Цитата
Но и это не всё. А что, если будет какой-то сбой (это уже на уровень выше – анализ внешнего воздействия)? Добавили дополнительную (т.е не входящую в саму PLL, redundant) цепь для контроля попадания. Идея простая. Сигнал с ГУНа идёт на prescaler и далее на ДДС (без офсета). ДДС работает в режиме fracN, генерируя определённую (фиксированную) частоту. Т.е. каждый раз при переходе на новую частоту синтезатора ДДС перепрограммируется, чтобы его выход оставался постоянным и сравнивался с локальной внутренней опорой (потом это всё удалось существенно упростить, но принцип тот же). Если равенство соблюдается (в дополнение к фаз. захвату, что в отдельности не является достаточным в офсетных схемах) – всё OK, если нет, то процессор перезапускает процедуру настройки синтезатора на эту же частоту. Этот процесс называется lock recovery. Процессор пытается три раза восстановить контроль частоты, если не удаётся, то он выдаёт сигнал, что синтезатор не исправен.

Отличнейшее решение, БОЛЬШОЕ СПАСИБО! Единственное, что могу добавить, что у Dr.Drew дефицит по потреблению, на который я бы забил...
Dr.Drew
Ну вот в упрощенном виде выглядит как-то так. Допустим, частота опорного ситезатора около 2 ГГц, после делителя частоты - около 500 МГц. По расчёту, ФШ на 10 кГц получается минус 126 или минус 122, взависимости от делителя частоты на выходе опорного синтезатора. Потребление 480 мА. Будет четыре разъёма MCX, один - SMA, один - MiniUSB-B и один типа IDC-10 (может, M80-8511042 Harwin).
VCO
Цитата(Dr.Drew @ Dec 26 2012, 05:37) *
Будет четыре разъёма MCX, один - SMA, один - MiniUSB-B и один типа IDC-10 (может, M80-8511042 Harwin).

Есть вопрос: Зачем DC/DC, когда вы на нём снижаете всего лишь 0.8 В при 3.3 В на выходе LDO?
Если учесть потери на диодах Шоттки в импульсном режиме, то нет никакой экономии тока...
А ставить Фэтки на таких мизерных токах - небюджетное решение, даже для синтезаторов.
...Просто хочу предупредить, что 5 В в USB - это 4.5-5.5 В на практике + потеря в фильтре.
Sergey Beltchicov
Цитата(Dr.Drew @ Dec 26 2012, 06:37) *
Ну вот в упрощенном виде выглядит как-то так. Допустим, частота опорного ситезатора около 2 ГГц, после делителя частоты - около 500 МГц. По расчёту, ФШ на 10 кГц получается минус 126 или минус 122, взависимости от делителя частоты на выходе опорного синтезатора. Потребление 480 мА. Будет четыре разъёма MCX, один - SMA, один - MiniUSB-B и один типа IDC-10 (может, M80-8511042 Harwin).

Позволю себе малость поразмышлять о схеме Андрея, которая, как он говорит, близка нашей от 2009 года. Несколько отправных моментов. В нашей схеме стабилизируемый генератор имеет октавную перестройку по частоте и стабилизируется по офсетной схеме, где в качестве РЧ на смеситель подаются гармоники от ГУН 400-440 МГц. Диапазон ГУН не выбран от балды, как может показаться на первый взгляд. Для обеспечения отсутствия дырок в синтезе, требуется, чтобы выполнялось условие Fmax*k>Fmin*(k+1), где k -это номер гармоники, а Fmax и Fmin конечная и начальная частоты ГУН, который подается на ДНЗ для генерации гармоник. Посмотрим, что происходит в схеме Андрея (что можно продедуктировать из сведений о частотном плане, которые он выложил). Стало быть, имеется ГУН 3-6 ГГц. Предположим для начала, что эффективные частоты ГУН-опорного синтезатора после деления у него такие же, как у нас (400-440). Значит до деления на 4 (а HMC433 вроде бы имеет только кэф 4) это диапазон 1600-1760. Если Андрей в первом опорном синтезаторе использует опорную палку 2 ГГц, как он пишет, то разностная частота равна 240-400 МГц. Если не ошибаюсь, это поболе чем максимальный реф для HMC704. Стало быть, если частотный план, такой как у нас, не хватает прескалера по реф концу в HMC704 первого синтезатора. Такой прескалер будет иметь кэф примерно 4 (если взять максимальный реф в 100МГц). А поскольку прескалер надо ставить в кольцо, то HMC433 выигрыша по спурам относительно споров HMC704 не даст. Если частотный план гармоникового ГУН (поделенный опорный синтезатор) в действительности иной, то обращу внимание вот на что. Гармониковый ГУН имеет следующий трейд-офф: чем выше его частоты, то тем шире должна быть относительная перестройка по частоте, для выполнения "правила дырок". Это означает, что если мы возьмем Fmin = 500 МГц, то шестая гармоника это 3 ГГц, а седьмая 3.5ГГц. Если поделить 3500 МГц на 6 получим 583 МГц. Нужен какой-то запас, честная цифра для Fmax будет, скажем, 585 МГц. До деления на 4 это 2000-2340. Не вдаваясь в детали (типа того, что разностная частота не должна быть нулем и не должна быть сильно низкой при работе с ГУН, чтобы он ненароком ее не перескочил) видно, что разностная частота в 340 МГц для HMC704 также великовата. В общем, по схеме Андрея или мало данных, или же мало компонентов, или компоненты не те.
Dr.Drew
Ну, не буду же я полностью раскрывать все карты - увидевшие мне за это не заплатят, когда начнут клепать синтезаторы. Хотя, ради прикола могу сообщить номер своего кошелька...для пожертвований бедному ведущему инженеру. Разумеется, с приведёнными мною данными ещё остаётся много места для манёвра. Немного подправлю ход размышлений, может, и свой ситезатор доработаете. МетОду выбора диапазона перестройки опорного синтезатора в Вашем приборе я знаю и считаю, что уж очень полоса широковата. Коэффициет умножения опорного синезатора в такой системе равен K+/-"коэффициент деления синтезатора в основной петле". Частоту сравнения никто не запрещает менять в пределах 25-100 МГц, например, да ещё и полярность ЧФД можно инвертировать. Итак, мы добавляем к перестройке за счёт опорного синтезатора ещё 200 МГц. А если ЧФД позволит, то и ПЧ в основной петле можно поделить на два - тогда вообще добавка до 400 МГц возрастает. По-моему, 10% - это слишком много. Хватит и 5-7%. Ну, и частоты в опорном синтезаторе подвигать вверх-вниз, чтобы гладко в 3-6 ГГц ложились...
Sergey Beltchicov
Цитата(Dr.Drew @ Dec 26 2012, 13:10) *
Ну, не буду же я полностью раскрывать все карты - увидевшие мне за это не заплатят, когда начнут клепать синтезаторы.

Правильно, гораздо лучше в рекламно-разведывательных целях подавать правдободобную дезу biggrin.gif .

Цитата(Dr.Drew @ Dec 26 2012, 13:10) *
Немного подправлю ход размышлений, может, и свой ситезатор доработаете. МетОду выбора диапазона перестройки опорного синтезатора в Вашем приборе я знаю и считаю, что уж очень полоса широковата.

Наивно думать, что в статьях наподобие моей сливаются реальные боевые схемы.

Цитата(Dr.Drew @ Dec 26 2012, 13:10) *
А если ЧФД позволит, то и ПЧ в основной петле можно поделить на два - тогда вообще добавка до 400 МГц возрастает.


Можно, если не лезть ниже -140.

Цитата(Dr.Drew @ Dec 26 2012, 13:10) *
Частоту сравнения никто не запрещает менять в пределах 25-100 МГц, например, да ещё и полярность ЧФД можно инвертировать.

Это да. Но, наверное, нужна не одна частота 2ГГц и не факт, что именно 2. Но Вы, наверное, фильтр пошире сделали, чтобы пара соседних палок влезала, если с инвертированием баловаться собрались. Лично я больше люблю в таких случаях по отдельному ГУН на каждую боковую полосу. Но я не любитель сверхмалопотребляющих схем.
Dr.Drew
Цитата(Sergey Beltchicov @ Dec 26 2012, 14:07) *
Правильно, гораздо лучше в рекламно-разведывательных целях подавать правдободобную дезу biggrin.gif .
Наивно думать, что в статьях наподобие моей сливаются реальные боевые схемы.

Некоторые до сих пор верят в существование именно той схемы... biggrin.gif Вот "обрадуются".
Цитата(Sergey Beltchicov @ Dec 26 2012, 14:07) *
Это да. Но, наверное, нужна не одна частота 2ГГц и не факт, что именно 2. Но Вы, наверное, фильтр пошире сделали, чтобы пара соседних палок влезала, если с инвертированием баловаться собрались. Лично я больше люблю в таких случаях по отдельному ГУН на каждую боковую полосу.

Хватает и одной...и не ровно 2 ГГц. Хотя в опорном синтезаторе инвертирвоание полярности не подразумевается - только в основном.
Цитата(Sergey Beltchicov @ Dec 26 2012, 14:07) *
Но я не любитель сверхмалопотребляющих схем.

ВТО у ворот! biggrin.gif
Sergey Beltchicov
Цитата(Dr.Drew @ Dec 26 2012, 14:15) *
Хватает и одной...и не ровно 2 ГГц. Хотя в опорном синтезаторе инвертирвоание полярности не подразумевается - только в основном.


Мне все-таки интересно узнать, как будут себя вести Ваши 64 ГУНа, когда Вы станете загонять их к нужным палкам сверху-снизу на относительно малые расстройки.

А вообще вариант замены 439 +AD9912 с обвесом на 704-ю надо будет подробнее изучить на предмет шумов/спуров. Это здравая идея.
rloc
Dr.Drew, не рассматривали вариант деления на 2 в первой петле? Частотный план не смотрел, но подсознательно думаю можно обойтись без деления в районе 1 ГГц, правда с другим PLL.
Dr.Drew
Цитата(Sergey Beltchicov @ Dec 26 2012, 14:34) *
Мне все-таки интересно узнать, как будут себя вести Ваши 64 ГУНа, когда Вы станете загонять их к нужным палкам сверху-снизу на относительно малые расстройки.
А вообще вариант замены 439 +AD9912 с обвесом на 704-ю надо будет подробнее изучить на предмет шумов/спуров. Это здравая идея.

Будем усиленно думать. Возможность включения предустановки системой ФАПЧ самой MAX2870 заложена, но попытаемся обойтись без неё. А в 704 в смысле шумов надо следить за модулятором. Мне, вообще, ADF4159 больше понравился - и разрядность дробного делителя побольше, и потребление поменьше, и габариты...

Цитата(rloc @ Dec 26 2012, 15:32) *
Dr.Drew, не рассматривали вариант деления на 2 в первой петле? Частотный план не смотрел, но подсознательно думаю можно обойтись без деления в районе 1 ГГц, правда с другим PLL.

Нужная подставка в районе 2 ГГц проще реализуется, чем в районе 1 ГГц. Хотя ничто не мешает и 1 ГГц использовать.
rloc
Шумность делителя не нравится, неплохо отказаться от него. В состав претендентов можно включить LMX2581. Из плюсов - работа ФД в дробном режиме на 200 МГц, коэффициент деления от 10 и шумы близки к 704, правда не нашел потребление без ГУНа.
Dr.Drew
Здесь делитель мало, на что влияет своими шумами, а вот обеспечить 6-12 дБ запаса по палочкам сточки зрения всей системы может. Судя по показателям потребления - голодная микруха.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.