Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: Синтезаторы частот. От концепции к продукту.
Форум разработчиков электроники ELECTRONIX.ru > Аналоговая и цифровая техника, прикладная электроника > Rf & Microwave Design
Страницы: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63
rloc
Цитата(тау @ Oct 20 2017, 00:32) *
предположим что мощность LO в обоих случаях одинаковая. Тогда все должно быть наоборот для малого сигнала- меньше ток - больше Rd и его нелинейные компоненты.

Интереснее случай, когда средний импульсный ток через диоды одинаковый, при равенстве всех остальных параметров, включая площадь контакта (равенство емкостей), что хорошо согласуется с практикой - есть серии диодов, отличающихся только барьером. По результатам моделирования DBM, наибольшая разница в нелинейности видится в разной крутизне емкости в рабочей точке пары обратносмещенных диодов. На картинке - зависимость емкости двух диодов фирмы Skyworks c барьерами 0.3 В и 0.6 В, при одинаковых площадях контактов и внутренних сопротивлений.
Нажмите для просмотра прикрепленного файла
Ранее наверно ошибочно думал о разном времени переключения из закрытого состояния в отрытое, связанное в том числе с разной пороговой емкостью.

Цитата(khach @ Oct 29 2017, 13:57) *
Кстати, АД открыл секреты быстрой перестройки синтезаторов ADF43xx ADF53xx

Не читал внимательно, можно ли однократно откалиброваться при включении питания и потом быстро переключаться? Диапазон температур - не более +-10 гр.
khach
Цитата(rloc @ Oct 29 2017, 18:53) *
Не читал внимательно, можно ли однократно откалиброваться при включении питания и потом быстро переключаться? Диапазон температур - не более +-10 гр.

Именно так, но надо калибровать каждую микросхему, если их несколько, и сразу после включения не получается. Надо включить синтезатор и дать ему прогреться, хотя бы пару минут, тогда калибровки получаются надежными. Если калиброваться сразу после включения на холодной микросхеме- потом в поддиапазоны VCO не попадаем после прогрева.
rloc
Цитата(khach @ Oct 29 2017, 13:57) *
По поводу суммирования нескольких источников для понижения ФШ это тут было http://www.analog.com/en/technical-article...th-silicon.html?

Ай да молодцы, больше 6 дБ получили при сложении 4-х источников, точка 2 была выше -100 дБ, стала ниже -106 дБ.

Поспешил, там речь о 8 источниках, но сложно поверить, что все они одинаковые.
VCO
Да баловство это всё. Как я понял, для расширения диапазона рабочих температур нужен термостат, термокомпенсации не хватит..
Вот тут и возникает вопрос: Насколько это лучше ЖИГ-генератора не только по шумам и спурам, но и по потреблению электроэнергии?
rloc
Цитата(VCO @ Oct 31 2017, 00:52) *
Насколько это лучше ЖИГ-генератора

Думаю, на практике сложно добиться повторяемости. Микросхемы из разных партий будут иметь перекос по амплитуде/фазе, различные формы ФШ, что приведет к резкому снижению выигрыша. Но результаты эксперимента в любом случае достойны уважения: достигнута высокая развязка по опорной частоте, питанию, выходу. По опыту ADF43xx (наверное и ADF53xx) критичны к согласованию по выходу - АЧХ/ФЧХ становятся сильно изрезанными по частоте, но и здесь разработчики решили проблему - поставили аттенюаторы по выходу. И по статье видно, результатов добились не с первой попытки.

Цитата(VCO @ Oct 31 2017, 00:52) *
по потреблению электроэнергии?

Да, и стоимость всех марковских сумматоров пересчитать.
VCO
Цитата(rloc @ Oct 31 2017, 10:20) *
Но результаты эксперимента в любом случае достойны уважения...

В плане ухода от акустических проблем YTO - несомненно. А как обстоят дела в противостоянии кварца и MEMS-генераторов?

P.S.: Да, ещё хотел напомнить ту тему, где каждый, кто хотел, высказывал свои прогнозы на тему YIG or VCO?
Я тогда высказал предположение, что передовые разработчики сконцентрируют усилия на совершенствовании VCO.
Так вот уже сейчас вижу, что был прав. Не скрою, это подняло мой моральный дух, который до сих пор был в упадке.
Я не то, чтобы выпендриваюсь, а просто анализирую вслух, ситуацию по дальнейшим перспективам развития, в т.ч. себя.
У меня многое начало получаться не только на словах, но и на деле. Удалось таки освоить ДР(3тьфу), почти оседлал ДНЗ.
Полностью переквалифицировавшись, хочу оставить это всё в качестве хобби, подобно тому, как поступил Шаманъ.
И уже сейчас задумался о том, чтобы начать создание собственного DDS по тем мыслям, которые высказывал в этой теме.
Я уже говорил, что это - решение всех проблем. Ну а если получится, может это и в дальнейшем мне или ещё кому пригодится.
На эту идею меня таки натолкнул Александр Ченакин со своим неувядающим оптимизмом и рассказом ТРОЯ.
В данном случае роль инопланетянина я бы ассоциировал с VCO и косвенным методом синтеза, с которым я сел в лужу...
Шаманъ
Появилось свободное время и разобрался я наконец со всеми нюансами по части совпадения теории и практики у себя sm.gif.

Все сходится теперь по шумам примерно до 2..3дБ, учитывая страшный макет, приборы, которые поверялись в прошлом столетии (за исключением мультиметров) laughing.gif , а также уровни шума в -150..160дБн/Гц (пусть и на низкой частоте - 33МГц) думаю это неплохо rolleyes.gif (по картинке: у программы анализа есть особенность, из-за которой в таком зуме линия ФШ будет немного выше, чем реальность, но цифры на метках правильные):
Нажмите для просмотра прикрепленного файла

Заодно провел эксперимент изменив схему на манер СЧ Александра. Цель была в устранении "палок" которые были на "плохих частотах" в исходной схеме (когда частота ФД была близка к субгармонике ГУНа, в исходной схеме частоты сравнения/подставки/ГУНа были не кратные). Теперь каждую частоту могу синтезировать разными "способами" и можно обойти "плохие частоты". Например, вот неудачный вариант:
Нажмите для просмотра прикрепленного файла

А здесь та же частота, и тот же синтезатор, но с оптимальным выбором коэффициентов деления (т.е. решение чисто софтовое):
Нажмите для просмотра прикрепленного файла

Даже в "плохом" варианте уровень палок намного ниже, чем в исходном СЧ для "плохих" частот. Однако палок с маленьким уровнем явно добавилось (скорее всего от смесителя), кроме того есть палки которые можно убрать изменением коэффициентов деления, но проблема в том, что эти палки не просчитываются заранее по комбинационным частотам смесителей (видимо это результат наводок или грязь из ДДСа, а не продуктов преобразования смесителей). Наверное без нормальной платы окончательно закрыть вопрос не получится (я иногда смотря на макет удивляюсь как оно вообще такой спектр может выдавать sm.gif), также думаю сменить свои любимые ADE-1 на что-то более высокоуровневое, хотя бы ADE-1H - хуже от этого не станет, правда придется разогнать уровень LO на +10дБ.

Сейчас шум определяется в основном формирователем мелкого шага (он немного шумнее основной петли, из-за этого шум СЧ немного хуже первоначального варианта на те же пару-тройку дБ, тем не менее в самом плохом случае он лучше -149дБн/Гц при отстройке от 2кГц и далее потихоньку уходит вниз, на -160дБн/Гц должен упереться в шум делителя):

Знаю как улучшить общий шум на 3..4дБ (за счет улучшения формирователя мелкого шага - я там сильно упростил одну часть и поимел почти 25дБ потерь laughing.gif , что не могло не отразится на результате, ну и DDS затактирован "по простому" rolleyes.gif ), но наверное смысла уже нет, и так сейчас шум намного ниже первоначальных планов - теперь остальная часть "изделия" требует доработки, чтобы в полной мере использовать возможности нового синтезатора. Но сначала нужно убедиться, что палки я таки окончательно победил...

Да, как-то звуковуха стала "узковатой" для меня sm.gif Вчера посмотрел отдельные узлы на простеньком анализаторе (Ригол до 1.5ГГц) - ничего особо нового не открыл (ну разве что есть подозрения на гудеж одного усилителя). Потери в ПАВе почему-то на 3..4дБ больше, чем обещали.

Цитата(rloc @ Oct 19 2017, 20:48) *
Когда настраивали, столкнулись со спурами под -70 дБн при LO/IF ~ 10 и решили вопрос ослаблением IF до минимального уровня (преобразование вверх).

Я по этой причине постарался обойти спуры изменением частотного плана. Хотя сейчас появились у меня некоторые возможности по части "воплощения в железо", благодаря которым можно радикально улучшить экранирование, плюс я выяснил некоторые нюансы своей конструкции, которые тоже могли мне подпортить спектр.

Кстати о выборе уровней сигналов на смесителе, я так понимаю каких-либо данных (типа табличек с уровнями комбинационных частот для разного уровня сигналов на входе смесителя, как у "дедушки" Манасевича в книге) для современных смесителей производители не приводят. Получается надо делать эксперимент, а потом по результатам определяться?

Цитата
С полевыми транзисторами проще, они при меньшей мощности уже линейные, но вопрос в цене.

Можно сделать дешево на переключателях шин. У меня такие смесители используются в трансивере (я как-то статейку в QEX написал по одной оригинальной структуре такого смесителя). На 200МГц LO их правда не заведешь (не хватит быстродействия), но можно подать в качестве LO сигнал DDSа (у меня его частота всего 8..12МГц), а полосы хватит и на 200МГц. Однако с точки зрения минимального уровня комбинационных частот не уверен, что такой смеситель будет лучший вариант, да и места займет больше, а оно в некотором дефиците.

P.S. Захотелось подняться повыше - сделать октавник 1..2ГГц с шумами не хуже -130дБн/Гц sm.gif. Такой девайс мне был бы ну очень полезен...
Chenakin
Цитата(Шаманъ @ Nov 11 2017, 06:50) *
Появилось свободное время и разобрался я наконец со всеми нюансами по части совпадения теории и практики у себя sm.gif.
Заодно провел эксперимент изменив схему на манер СЧ Александра. Цель была в устранении "палок" которые были на "плохих частотах" в исходной схеме (когда частота ФД была близка к субгармонике ГУНа, в исходной схеме частоты сравнения/подставки/ГУНа были не кратные). Теперь каждую частоту могу синтезировать разными "способами" и можно обойти "плохие частоты".

Очень много информации. Желательно было бы иметь блок-схему перед глазами, чтобы это всё переварить. По-моему, Вы приводили её ранее? Не помешает продублировать еще раз.

to Khach: Вы когда-то раньше спрашивали ссылку на dual YIG oscillator. Вот, появилось время привести в порядок мысли и все это формализовать:

Нажмите для просмотра прикрепленного файла
Идея простая. Первая сфера работает на больших уровнях сигнала (>17 дБм) в режиме ограничения. При таких уровнях её резонансная кривая размазывается, а Q резко падает. Поэтому, добавляется вторая сфера, работающая на гораздо более низких уровнях мощности в обычном режиме с большим Q. Весь смысл в том, чтобы активный прибор держать в относительно линейном режиме (чтобы уменьшить рост шумов в ограничении), а сам механизм ограничения перенести в первую ЖИГ сферу. В свое время удавалось вытягивать неплохие шумы, но сейчас это уже предания старины глубокой.
VCO
А как там обстоят дела со звуковыми картами в применении для оценки ФШ?
Сейчас собрал несколько ФАПЧуемых генераторов на ДР - оценить ФШ нЕчем.
Помнится обсуждался какой-то новый 32-разрядный АЦП со сверхнизкими шумами.
Он появился в звуковых картах? Вариант работать на низких частотах СА помню.

P.S.: Пока самое толковое, что нашёл - ASUS Xonar D2 / PM или ASUS Xonar U7
В нём 24-разрядный АЦП Cirrus-Logic CS5381с динамикой 120 дБ.
Частота преобразования АЦП до 192 кГц, вроде бы подходит.
Никаких 32-разрядных и в помине нету, даже этой фирмы не видно.
Шаманъ
Цитата(Chenakin @ Nov 16 2017, 23:52) *
Очень много информации. Желательно было бы иметь блок-схему перед глазами, чтобы это всё переварить. По-моему, Вы приводили её ранее?

Нет конкретно этого варианта не приводил.

Схема простая - формирователь мелкой сетки сделан по схеме прямого синтеза - DDS (частота около 10МГц) смешивается с сигналом умноженного 60МГц КГ, и фильтруется ПАВ фильтром (на частоте около 190МГц). Далее сформированный сигнал с помощью "спредера" (по местной терминологии sm.gif) на базе петли ФАПЧ "размножается" на диапазон 197..213МГц. Этот сигнал потом делится до 6..35МГц (частота первого гетеродина КВ трансивера).

Каждую частоту в новом варианте можно синтезировать разными способами (изменяя частоту DDS и коэффициент "умножения" спредера), таким образом планируется обойти "плохие частоты" - субгармоники тактовой DDSа, частоты на которых комбинационные частоты смесителя формирователя мелкого шага попадают в полосу ПАВ фильтра и петли ФАПЧ спредера и некоторые другие.

Предварительный расчет показывает, что я могу обойти все комбинационные частоты формирователя мелкой сетки до 30го порядка включительно, кроме двух узких 100кГц участков где в полосу попадает 18я и 21я гармоники ДДС (думаю это не смертельно, тем более расчет не учитывает уровни комбинационных частот). Для меня это важно, т.к. я ограничен по части экранирования и некоторых других методов обеспечения хорошей ЭМС разных узлов на плате СЧ и хочу максимально избавится от возможных проблем архитектурно (этому кстати очень способствует кратный синтез в петле ФАПЧ). Задача макета была убедиться, что идея работоспособна, проверить возможность достижения требуемых параметров по ФШ, а также провести ряд экспериментов, чтобы окончательно проработать некоторые узлы - эта цель достигнута, теперь для нормальной проверки нужно собрать окончательный вариант СЧ на плате, как положено, с добавлением хорошей развязки по питанию и т.д. Кстати, поэкспериментировав с макетом, ответил на свой вопрос из этого сообщения https://electronix.ru/forum/index.php?showt...t&p=1524692 (все как и предполагал - когда сигнал LO более высокочастотный на выходе меньше "грязи").

Цитата(VCO @ Nov 17 2017, 10:51) *
А как там обстоят дела со звуковыми картами в применении для оценки ФШ?
Сейчас собрал несколько ФАПЧуемых генераторов на ДР - оценить ФШ нЕчем.

Как обстояли так и обстоят сейчас - революций помнится там не было. Можно самому собрать карту с параметрами на уровне или даже немного лучше лучших карт.

Цитата
Помнится обсуждался какой-то новый 32-разрядный АЦП со сверхнизкими шумами.
Он появился в звуковых картах?

Он не особенно и нужен. Не знаю насколько Вам подойдет вариант измерения с помощью петли ФАПЧ, но если подходит, то используя "детали из чулана" и не самую топовую звуковуху легко делается измеритель ФШ с полом порядка -165..170дБн/Гц (ограничения возникают из-за линейности смесителя и шумов малошумящего усилителя, который включен после смесителя, можно опуститься и пониже). Единственный момент - полоса анализа ограничена звуковухой. Но требования по ДД к анализатору спектра в таком методе совсем невысокие, поэтому можно использовать практически любой СА и наблюдать полосу намного шире.

Измерения ФШ на моих картинках выше сделаны по такому методу.

Цитата
Частота преобразования АЦП до 192 кГц

Я CS5381 давно использую у себя, на такой частоте дискретизации встроенный цифровой фильтр сильно зарежет по полосе входной сигнал (частота среза фильтра 46кГц) - посмотрите в датащите. Кроме того, как правило выше 40кГц начинают давать о себе знать шумы модулятора. Так что толку от наличия 192кГц не особо много.

Честных 120дБ ДД там кстати тоже нет, т.к. это в полосе 20кГц и да еще со "взвешиванием".

Тем не менее АЦП очень хороший - с использованием двух каналов я в реальной конструкции получил -163дБПШ/Гц ДД на частоте дискретизации 96кГц.

Еще ворос, может кто подскажет. Несколько раз помню упоминалось, что SiGe усилители могут ухудшить ФШ сигнала на малых отстройках. А то так получилось, что у меня в наличии были только SiGe MMIC усилители, ну я их и поставил, потом нашел документ http://www.thegleam.com/ke5fx/pnamp/lnrdpaper.pdf - там правда другие усилители тестировали, но думаю порядок цифр можно распространить и на примененные SGA-4286/SGA-3586. У меня получается вклад в общий шум этих усилителей в критических местах будет на уровне других узлов (что меня в данной конструкции устраивает). Но всеравно задумался, а что нынче оптимально применять с этой точки зрения на частотах менее 1..2ГГц? Судя по документу всё кроме SiGe, но думаю есть еще нюансы?
khach
Цитата(Chenakin @ Nov 16 2017, 22:52) *
Идея простая. Первая сфера работает на больших уровнях сигнала (>17 дБм) в режиме ограничения. При таких уровнях её резонансная кривая размазывается, а Q резко падает.

Спасибо за ссылку на патент, спрячу в копилку. Хотя возня с двумя сферами убивает идею, но может с пленками можно повторить.
Интересно было бы сравнить спектры ФШ в фриране для разных уровней насыщения первой сферы. Там кстати вроде размаз АЧХ ассиметричный должен быть? А на вершинке нет ли "выгорания" (кривая двугорбой не становится)?

Цитата(VCO)
А как там обстоят дела со звуковыми картами в применении для оценки ФШ?

Да вроде ничего не изменилось. Разве что есть сильны подвижки с самодельными USB картами, т.к наконец мелкомягкие добавили поддержку USB 2 Audio в win10.
Но для СВЧ синтеза полоса аудио-АЦП слишком мала- надо бы смотреть до 1МГЦ как минимум, а лучше и выше при болшой частоте сравнения ФД.
Так что скорее всего надо строить свой ФШ-анализатор, например на новых АЦП от АД типа AD4020 ( 20 бит 1.8 Msps) или AD7960( 18бит 5Msps).
Может кто встречал проект двухканального FFT анализатора с коррелятором на FPGA?
VCO
Цитата(khach @ Nov 17 2017, 16:51) *
Да вроде ничего не изменилось. Разве что есть сильны подвижки с самодельными USB картами, т.к наконец мелкомягкие добавили поддержку USB 2 Audio в win10.

Стало быть, не быть мне аудиофилом biggrin.gif Ладно, померяю, как получится на E4440 на низких частотах.

Получается следующее: есть две опоры на 100 МГц, и два генератора на ДР на 22 ГГц, ФШ одного из них известны.
Опоры перестраиваются в пределах 100Гц (ошибку пока опускаю).
Значит я умножу их на 220 и получу разностный сигнал 22 кГц.
На отстройке 10 кГц на частоте 1ГГц E4440 имеет ФШ -118 дБн/Гц.
Значит его ФШ на частоте 12-32 кГц ограничены ФШ делителей частоты.
То есть, городить огород со звуковой картой нет никакого смысла.

По ходу возкикла ещё одна задача:
Нужна опора на 63 ГГц.
Её можно получить из генератора на ДР 15750 МГц умножением на 4 или из 21 ГГц - умножением на 3.
Герератор на 15750 МГц пока не получается сделать. Генератор на 21 ГГц сделать вполне реально.
Но пока не нашёл умножитель на 3 на такую частоту. Может подскажете такой умножитель или ещё что-либо?
Шаманъ
Цитата(VCO @ Nov 20 2017, 14:52) *
На отстройке 10 кГц на частоте 1ГГц E4440 имеет ФШ -118 дБн/Гц.
Значит его ФШ на частоте 12-32 кГц ограничены ФШ делителей частоты.

Что-то не понял откуда это следует... Там преобразование вверх на ПЧ около 4ГГц и ФШ синтезатора у анализатора спектра не должен сильно отличаться на низкой частоте и на 1ГГц (СЧ перестраивается при этом в пределах 4..5ГГц). Так что связь с шумом делителей непонятна, ну или Вы не все рассказали, что там собираетесь делать sm.gif
VCO
Цитата(Шаманъ @ Nov 20 2017, 18:06) *
Там преобразование вверх на ПЧ около 4ГГц и ФШ синтезатора у анализатора спектра не должен сильно отличаться на низкой частоте и на 1ГГц (СЧ перестраивается при этом в пределах 4..5ГГц). Так что связь с шумом делителей непонятна, ну или Вы не все рассказали, что там собираетесь делать sm.gif

А разве они не напрямую (в смысле - без преобразования) оцифровывают сигнал в данном диапазоне частот?
Я бы, увидев диапазон частот, снизил бы тактовую частоту АЦП цифровыми делителями и оцифровывал бы прямо.

Честно говоря - не изучал алгоритмы и архитектуру этого СА. Просто помню, что чем ниже диапазон частот, тем ниже шумы.
Но Вы заставили меня сомневаться, надо будет проверить его шумы на MXODE 10 МГц. Практика, критерий истины, покажет.

P.S.: Ваша правда, глупости написал. Посмотрел документ: https://www.testworld.com/wp-content/upload...m-analyzers.pdf
Страница 82
A20 Lowband
...
Figure 3-7 Lowband Assembly Block Diagram


Нажмите для просмотра прикрепленного файла

P.P,S.: Но всё-равно ФШ на 10 МГц обязательно проверю. Кроме того, -118 дБн/Гц должны устроить меня и на 12 кГц.
Дело в том, что я уже обмерял ФШ своей опоры 1 ГГц на этом анализаторе спектра и получил цифру -122 дБн/Гц.
ledum
Цитата(VCO @ Nov 20 2017, 13:52) *
Но пока не нашёл умножитель на 3 на такую частоту. Может подскажете такой умножитель или ещё что-либо?

Какой вопрос - такой ответ
SFP-123KF-S1 , SFP-153KF-S1 от SAGE Millimeter
MUT-15 , MUT-12 от Millitech
A625-3XW-16 от Spacek Labs
FT-12-0001 от Farran Technology
Осталось только привезти в Курск из какой-нибудь Полтавы, кхе-кхе - ПАшу напрячь. http://elmechanics.com/umnozhitel-chastoty...F-S1-60302.html
VCO
Цитата(ledum @ Nov 21 2017, 11:16) *
Какой вопрос - такой ответ
SFP-123KF-S1 , SFP-153KF-S1 от SAGE Millimeter
MUT-15 , MUT-12 от Millitech
A625-3XW-16 от Spacek Labs
FT-12-0001 от Farran Technology
Осталось только привезти в Курск из какой-нибудь Полтавы, кхе-кхе - ПАшу напрячь. http://elmechanics.com/umnozhitel-chastoty...F-S1-60302.html

Фигасе, я таких фирм даже не знаю. Спасибо, буду побачить-пошукать.

ПАшу, пожалуй, беспокоить не будем. Протестирую Интерчип на профпригодность.

Сейчас допиливаю ещё один ДР лысым алмазным надфилем до 9 ГГц, на него уже умножители есть.
А Вы как их раньше точили на заводе?

P.S.:
Цитата(ledum @ Nov 21 2017, 11:16) *
A625-3XW-16 от Spacek Labs

У них же нашёл ещё и пассивный утроитель V-3X с Pin=18dB(max) и Conv.Loss=19dBm - то, что надо.
ledum
Цитата(VCO @ Nov 21 2017, 12:03) *
А Вы как их раньше точили на заводе?

Алмазным диском для заточки инструмента на очень малых оборотах - где-то один оборот в секунду. Типа такого на вид

Движок с редуктором вертикально, вращающаяся чашка у него на оси с гайкой - горизонтально.
В приспособе, которая уменьшала вероятность перекоса, хотя некоторые регулировщики умудрялись просто пальцем придавливать.
Тут же рядом стояла панорама на проход. Кладем резонатор рядом с микрополоском - если воронка АЧХ на нужной заранее подобранной частоте с учетом экрана и подстроечного винта - клеим в изделие. Серийность была до сотни в месяц
Шаманъ
Виктор, приветствую!
Раз пошел такой разговор, может Вы знаете где у нас можно прикупить пару смесителей minicircuits HJK-251H+ ?
Можно конечно прямо у производителя, но там только по 10шт., мне как бы столько не нужно, а они не дешевые...

И еще вопрос (ко всем), а есть ли смысл в целях улучшения ЭМ совместимости разных узлов и улучшения помеховой обстановки на плате СЧ использовать вместо блокировочных к-ров специальные типа NFM18PS105 http://psearch.en.murata.com/capacitor/pro...105R0J3%23.html ? Скажем рекомендуемую часто комбинацию из параллельных 100пФ и 0.1мкФ заменить на 100пФ и NFM18PS105? Уж как-то очень красиво производитель описывает их применение laughing.gif ...

Chenakin
Да, смысл есть. Эта штучка обеспечивает наиболее короткий путь на землю (типа проходных конденсаторов). Правда, там в даташите не очень много данных, и напряжение только 6.3V. Вот, дальше последовательно можно включить:

Нажмите для просмотра прикрепленного файла
А вообще, всего того же можно и обычными конд-ми получить, если аккуратно подойти. Т.е. использование EMI-фильтров, это если уж Вы гурман большой (который каждый мм разводки учтет), или наоборот, полный пофигист, который переложит все свои EMI проблемы на плечи Мураты. А вообще, нормальный компонент, смотрите на месте по цене/доставабельности. Если приемлемо, то смело используйте.
VCO
Цитата
Правда, там в даташите не очень много данных, и напряжение только 6.3V.

Меня это тоже не вдохновляет. Особенно, если это каналы питания ГУН и ОУ.
khach
Цитата(VCO @ Nov 20 2017, 19:19) *
Figure 3-7 Lowband Assembly Block Diagram[/b]

Нажмите для просмотра прикрепленного файла
Сорри за офтопик. А зачем в этом приборе схема квадратурного подавления сигнала гетеродина в тракте ПЧ? Насколько это оправданно и как такую схему калибровать?
Имеет ли смысл по такому принципу добавлять спуродавилку в синтезаторы?
Шаманъ
Цитата(Chenakin @ Nov 22 2017, 08:00) *
А вообще, нормальный компонент, смотрите на месте по цене/доставабельности. Если приемлемо, то смело используйте.

Спасибо за ответ! Доставабельность и цена нормальные. Есть еще из той же серии вариант для частот пониже (в некоторых узлах у меня это может быть актуально, да и в целом с учетом того, что это для КВ аппаратуры):
Нажмите для просмотра прикрепленного файла

Цитата
Вот, дальше последовательно можно включить:
Прикрепленный файл NFL21SPxxxX1C3.pdf

Такие штуки сильно давят на бюджет rolleyes.gif , я у себя пристрастился к BLM31AJ601 и подобным + обычные к-ры, думаю в комбинации с NFM18PS105 они меня тоже не подведут.

Цитата(VCO @ Nov 22 2017, 08:47) *
Особенно, если это каналы питания ГУН и ОУ.

Можно обычные проходные к-ры поставить из той же серии NFM18PC/NFM21PC и т.д. с меньшей емкостью или бОльшим размером. Они есть до 25В, но у них характеристики не такие красивые.

Для меня это не большая проблема, т.к. питание более 5В только у ГУНа. В принципе и его можно на 5В переделать, но не вижу мотивации...

Цитата(khach @ Nov 22 2017, 15:55) *
А зачем в этом приборе схема квадратурного подавления сигнала гетеродина в тракте ПЧ?

Возможно это для того, чтобы опуститься до очень низкой частоты - 3Гц. На такой частоте гетеродин будет очень близко к ПЧ.

На счет настройки не вижу проблем. Погуглите на тему адаптивный режекторный перестраиваемый фильтр Винера - там то же самое. NCO и два коэффициента которые подстраиваются чтобы сигнал был в противофазе с помехой. Могу посмотреть формулы адаптации если интересно.
VCO
Цитата(Шаманъ @ Nov 22 2017, 17:28) *
Можно обычные проходные к-ры поставить из той же серии NFM18PC/NFM21PC и т.д. с меньшей емкостью или бОльшим размером. Они есть до 25В, но у них характеристики не такие красивые.

Да и случай не тот. Как не пыжился, пришлось ставить большой тантал и там, и там, чтобы минимизировать шумы. Всё перепробовал: и активный фильтр, и пассивный - всё бес толку.
Не знаю, может быть это только у меня такая ФАПЧ, но именно эти большие танталы и создали проблемы в надёжности петли. Идиотская орфография Ubuntu 16 исправляет буквы "ё" на "е" sm.gif
VCO
Случилось страшное - появилась идея сделать синтезатор частоты 0-24 ГГц полностью на отечественной элементной базе wacko.gif
Всё без исключения 05.gif Чего не хватает - так это меня, ГУНа, до 24 ГГц. Ну не работаю я на таких частотах. Какие будут идеи?
misha8us
В синтезаторах часто применяют удвоители и наверное в этой теме есть немало, кто их применял и знает, как правильно. Есть генератор на 100 МГц, надо получить 400 МГц, планирую два обычных диодных удвоителя на отдельных элементах (трансформатор 50 Ом и диодная пара HSMS-2814). Вопрос мой про согласование, как правильно удвоители нагрузить? Сначало, как у смесителей, на диплексор или можно сразу на полосовой фильтр? И если сразу на фильтр, то как выходное сопротивление удвоителя сказывается на фильтре (у меня в одном случае LC фильтр, в другом ПАВ фильтр, оба требуют 50 Ом)?
VCO
Цитата(misha8us @ Nov 26 2017, 18:43) *
И если сразу на фильтр, то как выходное сопротивление удвоителя сказывается на фильтре (у меня в одном случае LC фильтр, в другом ПАВ фильтр, оба требуют 50 Ом)?

Если отправляете 400 МГц только лишь на ФАПЧ, то такая тщательная фильтрация не нужна, петлевой фильтр ФАПЧ всё отфильтрует сам. В таком случае советую ограничиться ФВЧ+ФНЧ после каждого удвоения.
misha8us
Цитата(VCO @ Nov 26 2017, 23:37) *
Если отправляете 400 МГц только лишь на ФАПЧ


Не на ФАПЧ, у меня 400 МГц поступает на DDS и потом на смеситель после DDS (чтобы уменьшить спуры), и только потом получается сигнал для ФАПЧ. А генератор (OCXO) имеется только на 100 МГц и у него на выходе синус. И, кстати, будет ли лучше такой генератор нагрузить на полосовой диплексор с центральной частотой 100 МГц или нагружать просто на 50 Ом?
VCO
Цитата(misha8us @ Nov 27 2017, 14:50) *
И, кстати, будет ли лучше такой генератор нагрузить на полосовой диплексор с центральной частотой 100 МГц или нагружать просто на 50 Ом?

А зачем его нагружать на диплексор, если Вы хотите его умножать и фильтровать? Сразу на усилитель. Или я чего-то не понял?

У Вас же выход 100 МГц в районе 0 дБм, если не ошибаюсь? Если так, то для начала нужно поднять уровень опоры перед потерями.
misha8us
Цитата(VCO @ Nov 27 2017, 20:49) *
А зачем его нагружать на диплексор, если Вы хотите его умножать и фильтровать? Сразу на усилитель. Или я чего-то не понял?

У Вас же выход 100 МГц в районе 0 дБм, если не ошибаюсь? Если так, то для начала нужно поднять уровень опоры перед потерями.


У генератора выход +13 дбм на 50 Ом, питание 15 В. Гармоники несколько ниже -30 дБ, а я так понял, что на удвоитель надо подавать как можно чище сигнал, вот и подумал использовать полосовой диплексор, у него постоянное входное сопротивление в отличие от обычных фильтров.
VCO
Цитата(misha8us @ Nov 28 2017, 18:55) *
У генератора выход +13 дбм на 50 Ом, питание 15 В.

Ещё не встречал таких генераторов. Ну-да, такого уровня должно хватить для удвоителя.
Цитата
Гармоники несколько ниже -30 дБ, а я так понял, что на удвоитель надо подавать как можно чище сигнал, вот и подумал использовать полосовой диплексор, у него постоянное входное сопротивление в отличие от обычных фильтров.

Обычно со всеми гармониками опоры 100 МГц у меня успешно справляется LFCN-80.
Dr.Drew
Цитата(VCO @ Nov 28 2017, 19:38) *
Ещё не встречал таких генераторов.

...а зря... biggrin.gif
Был давеча на РадЭле и мерил обновленный MOXO-100. 17 дБм "с куста" и -183 дБн/Гц в остатке. На 10 кГц - минус 180. Как раз протестил новый PNA40 AnaPico на стенде Остека. Понравился прибор - за 5-6 секунд показал весь спектр шумов от 1 Гц без ограничений по чутью. Сравнивал с FSWP - было небольшое расхождение на 1-10 кГц на 3 дБ где-то (FSWP показал шумы повыше). Никак не могу дождаться скриншотов...
VCO
Цитата(Dr.Drew @ Nov 29 2017, 10:10) *
...а зря... biggrin.gif
Был давеча на РадЭле и мерил обновленный MOXO-100. 17 дБм "с куста" и -183 дБн/Гц в остатке. На 10 кГц - минус 180.

Ну что же, поздравляю! Всех уделали и удивили.
А у нас так и не срослось с вашими MOXO-100: снабженцы не оплатили заказ, поэтому корпуса и платы под них так и не стали переделывать.
Первая партия корпусов была сделана под Magic Xtal, поэтому пришлось их и заказывать. Так как это были опоры под ДР, их ФШ устроили.

Кстати, попутный вопрос: А что там у Вас из ГУНов можно заказать? Помню, что были 1-2 и 2-4 ГГц, а повыше что-то появилось?
serega_sh____
Цитата(Dr.Drew @ Nov 29 2017, 11:10) *
....Как раз протестил новый PNA40 AnaPico на стенде Остека. Понравился прибор - за 5-6 секунд показал весь спектр шумов от 1 Гц без ограничений по чутью....

К нам они вчера приезжали. Тоже положительные эмоции от прибора, его стоимости и от группы поддержки. Ну и про эталон ФШ тоже интересно послушать было.
Для пробы и посмотреть принесли старенький гк54-тс на 10МГц, и чего то эта опора сплоховала. Ей всего то 18 лет, а параметры ушли вникуда на высоких частотах.
Dr.Drew
Цитата(VCO @ Nov 29 2017, 14:06) *
Кстати, попутный вопрос: А что там у Вас из ГУНов можно заказать? Помню, что были 1-2 и 2-4 ГГц, а повыше что-то появилось?

Коллега заканчивает разработку ГУН 3-6 ГГц

Цитата(serega_sh____ @ Nov 29 2017, 17:29) *
Ну и про эталон ФШ тоже интересно послушать было.

Что-то из области фантастики - лепить эталон фазовой нестабильности колебания из сигнала заданной мощности и генератора шума заданной интенсивности. Все проверяется банальным генератором в режиме фазовой модуляции...

Во, вчера прислали график...
VCO
Господа, а это что за чудо расчудесное, да ещё и в таком маленьком корпусе?
https://www.zcomm.com/pdfs/datasheets/TRO1350A-LF.pdf
https://www.zcomm.com/pdfs/datasheets/TRO1790A-LF.pdf
https://www.zcomm.com/pdfs/datasheets/TRO2400A-LF.pdf
serega_sh____
Цитата(Dr.Drew @ Nov 29 2017, 19:24) *
Что-то из области фантастики - лепить эталон фазовой нестабильности колебания из сигнала заданной мощности и генератора шума заданной интенсивности....

поясните. Я не настолько глубоко понимаю в этой теме. Начинающий.
khach
Очень неплохой букварь
PLL Performance, Simulation, and Design 5th ed
http://www.ti.com/lit/ug/snaa106c/snaa106c.pdf
VCO
А кто-нибудь в курсе, какую термостабильность имеют термостатированные ПАВ-генераторы?
Приглянулся http://www.rakon.com/corporate/about/news/...adar-electronic
Запрос пока не могу сделать, так как полностью переделываю рабочий интернет-компьютер.

P.S.: Вопрос снимается. Не заметил в таблице по ссылке here этот генератор.
Даташит приведен для модификации 800 МГц, а мне нужен был 1 ГГц.
http://www.rakon.com/products/families/ocxo-ocso#cat_149
Chenakin
Друзья!
Пользуясь случаем, хочу поздравить нашего друга и коллегу, одного из талантливейших синтезаторовщиков России, Андрея Горевого (известного здесь как Dr.Drew) с успешной защитой кандидатской диссертации. Работа выстраданная и актуальная, подводит итог его многолетних исследований в этом интересном и важном направлении. Хочу пожелать Андрею дальнейших успехов на его жизненном пути!
Детали по защите можно найти здесь:
Защита
rloc
Цитата(Chenakin @ Dec 21 2017, 07:11) *
Работа выстраданная

А бывают другие, если по-честному? Почему-то складывается именно такое представление по общению с коллегами.

Цитата(Chenakin @ Dec 21 2017, 07:11) *
успешной защитой кандидатской диссертации.

К Андрею: остались силы ответить на несколько вопросов? Или забудем, как страшный сон?
VCO
Цитата(rloc @ Dec 22 2017, 01:35) *
А бывают другие, если по-честному?

Бывают - купленные biggrin.gif Но к Андрею это не имеет отношения. Ещё раз - мои поздравления кандидату наук a14.gif

Я же в этом году закончил линейку ФАПЧуемых генераторов на ДР и готовлюсь к новой сфере деятельности rolleyes.gif
Dr.Drew
Большое спасибо за поздравления!
Больше сил уходит на всякие формальности, оформление и сбор бумаг. Работу писал две недели, но потом два месяца бегал с сопутствующими бумажками и это - еще не конец.
Конечно, могу отвечать на вопросы.
VCO
Почти не участвовавшие в радиоветке (кроме карена) разработчики уже давно заочно конкурируют с обоими Александами, Андреем и Сергеем.
Прошу оценить эту фирму! Мне просто хочется знать, чего ждали от меня мои работодатели, оставив меня в одиночку конкурировать с этой фирмой? biggrin.gif
AdvanteX
khach
Цитата(Dr.Drew @ Dec 23 2017, 08:44) *
Конечно, могу отвечать на вопросы.

Поздравляю с зашитой степени! С удовольствием посмотрел текст.
А можно подробнее про конструкцию ЖИГ, который в разделе 3.4.3 описан? Очень уж там интересно компоновка выглядит. Не было ли идей совместить блок- схему отражательного генератора на ДРО (Рисунок 3.1 ) с ЖИГ резонатором в одной общей модульной конструкции?
Dr.Drew
Ссылаюсь сюда http://old.tusur.ru/export/sites/ru.tusur....sion/2013_1.pdf
Отказались от ГИС и перешли на SMD, в том числе на пластиковые корпуса. Плата из RO4350B с пазом под держатель с шариком. Через него перекидывается проволочка, соединяющая эмиттер транзистора с шунтированной цепью отрицательного питания. База сидит на земле. До 10 ГГц работает. Сам корпус из 50Н. Конструкция перевернутая по отношению к Микролямбде и т.п., чтобы эффективнее отводить тепло с катушки. Кстати, у микролямбды из-за этого возникают проблемы, так как при перегреве катушка начинает течь и заливает ГИС. Генератор перестает работать.
ЖИГ - не очень удобный генератор для работы с дискриминатором из-за габаритов и энергопотребления. Проще, все-таки ГУН на каком-нибудь КР.
Адвантех оценить не могу, так как их продукцию не щупал.
khach
Цитата(Dr.Drew @ Dec 24 2017, 12:34) *
Плата из RO4350B с пазом под держатель с шариком.

Спасибо, вот про паз в плате под держатель сферы как раз и интересно. А то конструкция геометрически компановаться не хотела. Или возникала проблема с конденсатором и бондированием к корпусу.
Цитата
чтобы эффективнее отводить тепло с катушки. Кстати, у микролямбды из-за этого возникают проблемы, так как при перегреве катушка начинает течь и заливает ГИС.

Есть такая проблема. Особенно для ЖИГов на 18 ггц и выше. Вкладывали в катушку прокладки из медной фольги ( незамкнутый виток) для теплоотвода изнутри катушки и заливали все теплопроводящей эпоксидкой.
Цитата
ЖИГ - не очень удобный генератор для работы с дискриминатором из-за габаритов и энергопотребления. Проще, все-таки ГУН на каком-нибудь КР.

Идея была совместить VCO и DRO дискриминатор в одной двухсферной конструкции с общим магнитным полем, у VCO отдельная ЧМ обмотка для ОС. Только вот циркулятор не вытанцовывается в этой схеме из за магнитного поля.
Остается вариант ДР дискриминатора "на проход" с перекрестными связями.
Нужен как раз не синтезатор, а малошумящий free-run генератор с октавной перестройкой.
to VCO
Адвантех использует ДДС в петле обратной связи ФАПЧ в качестве дробного делителя. Плюсы и минусы такой архитектуры синтезатора тут рассматривали многократно.
VCO
Цитата(khach @ Dec 24 2017, 18:33) *
to VCO
Адвантех использует ДДС в петле обратной связи ФАПЧ в качестве дробного делителя. Плюсы и минусы такой архитектуры синтезатора тут рассматривали многократно.

Нет, не только его. Они также, как и Андрей, вместо DDS используют дробночисленную ФАПЧ со встроенным ГУНом.

Но меня не это так интересует. Меня интересует: сколько человек делает такие многоконтурные синтезаторы частоты.
Например, я знаю, что у Александра Ченакина над QS работали ещё 5 человек минимум. А как у всех остальных?
Не могу поверить, например, что Андрей сам программировал и тестировал свой USB-шный генератор без помощи других.
Я ещё с завода помню, что тестировать продукт разработки должен человек, не участвовавший в самой разработке.

Просто я много лет варился в собственном соку и мало чего хорошего успел сделать, разрываясь на ДР, ДНЗ, ФАПЧ и умножение.
Мне сначала выделили программиста МК, но потом и его отобрали, после чего МК и ПЛИС мне пришлось программировать самому.
Sergey Beltchicov
Цитата(VCO @ Dec 24 2017, 18:54) *
Но меня не это так интересует. Меня интересует: сколько человек делает такие многоконтурные синтезаторы частоты.


Advantex - серьезная контора. Продукция производит крайне положительное впечатление. Доводилось щупать их UNO. Могу сказать, что изделие заявленные шумы подтверждало куда увереннее, чем FSW-0020, то есть не имел никаких проблем с шумами при разных уровнях аттенюации. На определенных "интересных" частотах там были спуры, обусловленные DDS, но для их идентификации я специально просил ребят поставить "битые" точки. Полагаю, что реальным проектированием занимается там группа в три-четыре спеца. Один из них, Петр, был на августовском семинаре. А вообще позвоните и пообщайтесь с ними. Думаю они смогут лучше ответить на подобные вопросы.

Андрея поздравляю с защитой. Вопрос по диссеру. Графики на страницах 58,59,60 рассчитывались на основе формулы 2.4 или формулы 2.10? Почему в формуле 2.10 в качестве сомножителя фигурирует (F0/(Q0 x f))^2, а не более привычное (F0/(2Q0 xf))^2?
VCO
Цитата(Sergey Beltchicov @ Dec 24 2017, 23:40) *
Один из них, Петр, был на августовском семинаре. А вообще позвоните и пообщайтесь с ними. Думаю они смогут лучше ответить на подобные вопросы.

Большое спасибо за ответ, теперь всё ясно.
Звонить нету смысла, я сегодня уволился из Скард Электроникс без каких-либо обид и претензий.
Это был именно тот случай, когда один в поле не воин. Но главной червоточиной была неверная постановка ТЗ для исполнителя.
Плакать по поводу потери работы не стану, но с электроникой в этой стране и именно в этом городе связываться более не собираюсь, кроме как дома в качестве хобби biggrin.gif

Всем удачи в наступающем 2018 году! santa2.gif С вами было очень интересно общаться, друзья! a14.gif
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.