Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: MG Expedition ликбез ...
Форум разработчиков электроники ELECTRONIX.ru > Печатные платы (PCB) > Разрабатываем ПП в САПР - PCB development > Mentor-ExpeditionPCB
Страницы: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81
fill
Цитата(SM @ Sep 11 2009, 09:57) *
Мелкий вопрос. Может уже было, но не нашел. Как определить несколько разных via с одим и тем же range но разными падстаками? Например мне нужны buried via layers 2-5, но двух видов, одно 19/9 (площадка/сверловка), второе 26/15. При попытке их определить ругается на "duplicate range".

И еще вопрос - как задать такой констрейн, что для определенного класса цепей любой переход с 1 слоя на 2-й слой и с 5-го на 6-ой должен быть через 2 параллельных via (это для microvia, где диаметр 6 мил и никакого другого).


1. В диалоге Setup_Parameters устанавливаются стандартные (default) via, поэтому только один padstack может стать стандартным для конкретного вида перехода. Далее в CES для каждого класса цепей вы уже или оставляете все без изменений, т.е. используется стандартный padstack, или указываете что используется другой padstack (вместо стандартного) - можно установить на все варианты переходов "заказанных" в Setup_Parameters.

2. Не совсем понятно. Или дайте картинку чего хотите получить или более подробно объясните.
SM
Цитата(fill @ Sep 11 2009, 11:30) *
2. Не совсем понятно. Или дайте картинку чего хотите получить или более подробно объясните.

Картинку пока не дам, а суть в том, что хочу, чтобы разводчик сам ставил 2 via на минимально допустимом расстоянии для перехода со слоя на слой, где не допустимы по технологии "толстые" via. Короче - 2 via впараллель вместо одного "толстого".

Т.е., для примера, для класса цепей "Power" я хочу, чтобы переходы меж слоев 1-2 и 5-6 делались при помощи пары рядом стоящих мелких via, а между слоев 2-5 - жирным via. Да, ну и по технологии via могут быть только между 1-2; 5-6 и 2-5. А сквозных 1-6 нету... И 1-2 с 5-6 только диаметр 6 мил и без вариантов, отсюда и желание параллелить.
fill
Цитата(SM @ Sep 11 2009, 11:41) *
Картинку пока не дам, а суть в том, что хочу, чтобы разводчик сам ставил 2 via на минимально допустимом расстоянии для перехода со слоя на слой, где не допустимы по технологии "толстые" via. Короче - 2 via впараллель вместо одного "толстого".

Т.е., для примера, для класса цепей "Power" я хочу, чтобы переходы меж слоев 1-2 и 5-6 делались при помощи пары рядом стоящих мелких via, а между слоев 2-5 - жирным via. Да, ну и по технологии via могут быть только между 1-2; 5-6 и 2-5. А сквозных 1-6 нету... И 1-2 с 5-6 только диаметр 6 мил и без вариантов, отсюда и желание параллелить.


http://www.megratec.ru/data/ftp/exp_movie/...%20HDI%203X.avi
SM
Там в ролике про это ни слова....

Хочу вот что

Код
L1-----------------------
            | |      | |      <= 2 VIA впараллель
L2        -------------------------------
                              |        |
...                           |        |
                              |        |      <= 1 жирное VIA
                              |        |
L5                          ------------------------------
                                            | |      | |      <= 2 VIA впараллель
L6                                        ------------------------
SM
Вопрос вроде снят... Эта хрень называется "Multiple via objects"
fill
Цитата(SM @ Sep 11 2009, 12:15) *
Там в ролике про это ни слова....

Хочу вот что

Код
L1-----------------------
            | |      | |      <= 2 VIA впараллель
L2        -------------------------------
                              |        |
...                           |        |
                              |        |      <= 1 жирное VIA
                              |        |
L5                          ------------------------------
                                            | |      | |      <= 2 VIA впараллель
L6                                        ------------------------


В видео показано автоматическое проставление глухих и скрытых via для ухода во внутрение слои как это прописано в правилах для сигнальных цепей и простановки сквозных via для питания. Правила введены в CES.
Реализация одновременной установки нескольких via (обычно применяют для цепей питания) показана в http://www.megratec.ru/data/ftp/exp_movie/new/MVO.avi это функция Multiple_Via_Object (MVO) - в документации есть ее описание.
SM
А существует какой нибудь гайд по ручной разводке в Exp? Чтобы там были сведены основные операции, например как ведя дорожку в данной точке перейти на другой слой, как завершить/приостановить/продолжить трассировку дорожки, как по ходу дела сменить толщину для продолжения дорожки, ну и т.п. А то документация вся из серии "без поллитры не разберешь", что-то хочется попонятнее, типа "шаг за шагом".
Inpharhus
Цитата(SM @ Sep 11 2009, 14:03) *
А существует какой нибудь гайд по ручной разводке в Exp? Чтобы там были сведены основные операции, например как ведя дорожку в данной точке перейти на другой слой, как завершить/приостановить/продолжить трассировку дорожки, как по ходу дела сменить толщину для продолжения дорожки, ну и т.п. А то документация вся из серии "без поллитры не разберешь", что-то хочется попонятнее, типа "шаг за шагом".

Думаю что вам сюда
http://www.megratec.ru/data/ftp/exp_docs/
http://www.megratec.ru/data/ftp/exp_movie/
http://megratec.ru/data/ftp/exp_movie/new/
А лучше всего тренинг intro_exp_pcb_rus.pdf, но не знаю где его сейчас взять, могу выслать (ЛС если что), хотя у вас возможно это всё есть, т.к. далеко не новичок на форуме smile.gif
fill
Цитата(SM @ Sep 11 2009, 14:03) *
А существует какой нибудь гайд по ручной разводке в Exp? Чтобы там были сведены основные операции, например как ведя дорожку в данной точке перейти на другой слой, как завершить/приостановить/продолжить трассировку дорожки, как по ходу дела сменить толщину для продолжения дорожки, ну и т.п. А то документация вся из серии "без поллитры не разберешь", что-то хочется попонятнее, типа "шаг за шагом".


Изучите тренинги Нажмите для просмотра прикрепленного файла Нажмите для просмотра прикрепленного файла и т.д.
SM
Цитата(Inpharhus @ Sep 11 2009, 14:33) *
А лучше всего тренинг intro_exp_pcb_rus.pdf, но не знаю где его сейчас взять, могу выслать (ЛС если что), хотя у вас возможно это всё есть, т.к. далеко не новичок на форуме smile.gif

Не, нету его у меня. Я с ментором разбираюсь так, по ходу дела, когда минутка есть... Пришлите пожалуйста, если не сложно (если, конечно, его нет на местном фтп, но я не обнаружил). _ s m собако b k . r u .
fill
Цитата(SM @ Sep 11 2009, 14:52) *
Не, нету его у меня. Я с ментором разбираюсь так, по ходу дела, когда минутка есть... Пришлите пожалуйста, если не сложно (если, конечно, его нет на местном фтп, но я не обнаружил). _ s m собако b k . r u .


в http://www.megratec.ru/download/ они есть Нажмите для просмотра прикрепленного файла
и где-то на форуме я давал уже прямые ссылки
SM
Цитата(fill @ Sep 11 2009, 14:59) *

Я не "подтвержденный пользователь", соотв. мне закрыты тренинги. А обе прямые ссылки, найденные тут, не арбайтен.
fill
Цитата(SM @ Sep 11 2009, 15:47) *
Я не "подтвержденный пользователь", соотв. мне закрыты тренинги. А обе прямые ссылки, найденные тут, не арбайтен.


Что мешает стать подтвержденным?

Работают, и там их три - теория, лабы, данные.

http://electronix.ru/forum/index.php?showt...%E5%ED%E8%ED%E3
SM
Цитата(fill @ Sep 11 2009, 17:25) *
Что мешает стать подтвержденным?

Да то, что я не читал, что это такое... По формулировке "подтвержденный" был почему-то уверен, что для этого надо быть официальным пользователем софта. За ссылки спасибо, поиск по слову "intro_exp_pcb_rus.pdf" их не находит.
SM
Вот еще вопрос. Можно ли включить отображение названий цепей, подключенных к пину на самих пинах (типа как в пикаде оно было). А то при ручной разводке не удобно ориентироваться, какая цепь где. Ну или подскажите, как принято тут оперативно определять, какой пин принадлежит какой цепи, не выделяя пина или цепи, особенно для пинов, подключаемых к плейнам, которые "крестами" отмечены.
Uree
Vadim, expflash спасибо! Теперь можно житьsmile.gif
Frederic
Цитата(SM @ Sep 11 2009, 21:33) *
Вот еще вопрос. Можно ли включить отображение названий цепей, подключенных к пину на самих пинах (типа как в пикаде оно было). А то при ручной разводке не удобно ориентироваться, какая цепь где. Ну или подскажите, как принято тут оперативно определять, какой пин принадлежит какой цепи, не выделяя пина или цепи, особенно для пинов, подключаемых к плейнам, которые "крестами" отмечены.


да, это удобно, но наверно никак
http://megratec.ru/forum/1/?theme=1315&...%C3%C5%D0%C5%CA
SM
Цитата(Frederic @ Sep 14 2009, 00:35) *
да, это удобно, но наверно никак

А тогда такой вопрос - возможно ли сделать текст, привязанный к компоненту, но не аттрибут? Т.е. идея такова - сделать скрипт, который бы насоздавал текстовых объектов с именами цепи.

Для чего мне это все - вот стоит фпга. Так как в Exp нет редактирования цепей - я не могу оптимально переподключить цепи, глядя на их расположение, и одновременно в даташит (только я знаю все правила, какую цепь куда и как можно перебросить, а куда нельзя, чтобы потом все как надо внутри фпга улеглось). Следовательно сделать все пины свопуемыми нельзя, чтобы свопить вслепую только глядя на "нитки" цепей. Значит мне надо переподключать в DxD и делать аннотацию. А для того, чтобы понять, что, куда и как - надо видеть названия всех цепей вокруг того места, где оптимизирую. Это первое, для чего необходимо видеть названия цепей. Второе - рисование плейнов. Для того, чтобы оптимально "порезать" плейн - надо видеть не только "кресты" на пинах, которые подключать к плейну, но и названия цепей. Хотя тут, конечно, наверное будет достаточно подсветить цепи, предназначенные для плейн-слоя, разными цветами, ибо их не так много.
Frederic
Цитата(SM @ Sep 14 2009, 10:51) *
А тогда такой вопрос - возможно ли сделать текст, привязанный к компоненту, но не аттрибут? Т.е. идея такова - сделать скрипт, который бы насоздавал текстовых объектов с именами цепи.

Для чего мне это все - вот стоит фпга. Так как в Exp нет редактирования цепей - я не могу оптимально переподключить цепи, глядя на их расположение, и одновременно в даташит (только я знаю все правила, какую цепь куда и как можно перебросить, а куда нельзя, чтобы потом все как надо внутри фпга улеглось). Следовательно сделать все пины свопуемыми нельзя, чтобы свопить вслепую только глядя на "нитки" цепей. Значит мне надо переподключать в DxD и делать аннотацию. А для того, чтобы понять, что, куда и как - надо видеть названия всех цепей вокруг того места, где оптимизирую. Это первое, для чего необходимо видеть названия цепей......


а вот здеся smile.gif нужен IOD в котором уже все это учтено и ты не сможешь перекинуть Out в In
SM
Цитата(Frederic @ Sep 14 2009, 12:50) *
а вот здеся smile.gif нужен IOD в котором уже все это учтено и ты не сможешь перекинуть Out в In

Нет, IOD не спасет никак. Мне нужно визуально поперекидывать цепи исходя лишь из оптимальности и удобства трассировки, и не нарушая определенных выработанных мной правил, более сложных, чем просто правило взаимозаменяемости пина (т.е. к примеру - эта группа пинов должна быть в одном банке, который питается оттуда-то, но номер банка не важен, или к примеру - эта группа пинов должна быть в банке, который питается оттуда-то, при этом это true LVDS, и при этом на соседние по кристаллу пины ничего нельзя подключать, но, опять же, ни номер банка в абсолютном виде, ни номера конкретных пар не важны. Или - это входной клок, его можно подать на любой клоковход правой или левой стороны кристалла, но не верхней или нижней). А назначения пинов для ФПГА я буду делать потом, когда-то, когда начну проектировать HDL-описание схемы для нее. Причем и объявить все пины свопуемыми и делать все аккуратно вручную тоже не выход... Из-за каличности реализации этого свопа - при аннотации оно вместо того, чтобы перекинуть цепь, перекидывает номер вывода, оставляя при этом имя пина на месте, чем нарушает целостность описания пина - номер всегда должен соответствовать имени.

Ну а что касается IOD - я бы с удовольствием поимел бы возможность получить "шапку" описания модуля на верилоге с указанием всех подведенных цепей с аттрибутами соответствия пинам, по результатам всех моих деяний в процессе разводки... Но, как я понимаю, IOD делает обратное... (или не прав?)
Inpharhus
Цитата(SM @ Sep 14 2009, 15:32) *
Мне нужно визуально поперекидывать цепи исходя лишь из оптимальности и удобства трассировки, и не нарушая определенных выработанных мной правил...

Если я правильно все понял, то IOD в этом может помочь, там можно гибко настраивать группы свопирования и вообще перекидывать цепи (если серьезно с ним разобраться, я например дальше генерации симолов фпга не продвинулся)...
SM
Цитата(Inpharhus @ Sep 15 2009, 09:11) *
я например дальше генерации симолов фпга не продвинулся...

Мне вот как раз это и не требуется, мне нужен символ фпга такой, как он описан в даташите на нее, с наименованием пинов по банкам и номерам... Так как на этапе разводки платы я еще не до конца знаю, какие сигналы с нее пойдут (идеи приходят не только во время разработки схемы, но бывают и уже при разводке), и совсем не знаю, с каких пинов они пойдут, это определяется только тем, как цепи лягут после оптимизации по удобству трассировки.

Цитата(Inpharhus @ Sep 15 2009, 09:11) *
там можно гибко настраивать группы свопирования и вообще перекидывать цепи

Т.е. хотите сказать, что я могу в IOD свопнуть что-то, после чего в результате каких-то простых действий (а лучше без них) в DxD и Exp произойдет переподключение цепи? При том, что символ необходим именно по даташиту на фпга, а не какой другой.
fill
Цитата(SM @ Sep 14 2009, 15:32) *
Ну а что касается IOD - я бы с удовольствием поимел бы возможность получить "шапку" описания модуля на верилоге с указанием всех подведенных цепей с аттрибутами соответствия пинам, по результатам всех моих деяний в процессе разводки... Но, как я понимаю, IOD делает обратное... (или не прав?)



Я уже привык что у вас прямое называется обратным и наоборот. biggrin.gif
Может и прочитать и сгенерировать.
Можете разбить на символы по банкам (и другим критериям), можете свопировать (где захотите) и передавать информацию туда-обратно.
SM
Цитата(fill @ Sep 15 2009, 11:47) *
Я уже привык что у вас прямое называется обратным и наоборот. biggrin.gif

Ну я еще не дорос до такого профессионализма, чтобы на этапе разработки схемы предвидеть то, как пойдут все трассы и сразу подключить все цепи куда следует, чтобы был минимум пересечений и длин трасс smile.gif smile.gif

Цитата(fill @ Sep 15 2009, 11:47) *
Можете разбить на символы по банкам (и другим критериям), можете свопировать (где захотите) и передавать информацию туда-обратно.

Самое главное - не будет ли такого глюка со свопированием, что на символе будут меняться номера выводов, но не меняться вместе с ними их имена? Т.е. например хочу свопнуть пин IO_B0_8 (пин C5) c IO_B3_12 (пин K3) - в результате получается пакость в виде того, что пин C5 обзывается IO_B3_12, а K3 - IO_B0_8, что не соответствует действительности.

А на символы по банкам мне не надо разбивать... У меня относительно небольшие корпуса, типа csBGA-132, все влезает одним символом.
fill
Цитата(SM @ Sep 15 2009, 11:50) *
Ну я еще не дорос до такого профессионализма, чтобы на этапе разработки схемы предвидеть то, как пойдут все трассы и сразу подключить все цепи куда следует, чтобы был минимум пересечений и длин трасс smile.gif smile.gif


Прямым для IOD понимается чтение информации о сигналах из Нажмите для просмотра прикрепленного файла и присвоенных номеров пинов из Нажмите для просмотра прикрепленного файла далее ее передача в схему и плату. Обратно - выше перечисленное в обратном порядке rolleyes.gif Так что в данном процесе не надо быть провидцем biggrin.gif

Цитата(SM @ Sep 15 2009, 12:05) *
Ну я еще не дорос до такого профессионализма, чтобы на этапе разработки схемы предвидеть то, как пойдут все трассы и сразу подключить все цепи куда следует, чтобы был минимум пересечений и длин трасс smile.gif smile.gif


Самое главное - не будет ли такого глюка со свопированием, что на символе будут меняться номера выводов, но не меняться вместе с ними их имена? Т.е. например хочу свопнуть пин IO_B0_8 (пин C5) c IO_B3_12 (пин K3) - в результате получается пакость в виде того, что пин C5 обзывается IO_B3_12, а K3 - IO_B0_8, что не соответствует действительности.

А на символы по банкам мне не надо разбивать... У меня относительно небольшие корпуса, типа csBGA-132, все влезает одним символом.


Т.к. символ в IOD не является фиксированным (в отличие от DxD) то при изменении номера можно изменить (автоматически) и имя.
SM
Цитата(fill @ Sep 15 2009, 12:10) *
Прямым для IOD понимается ....
Обратно - выше перечисленное в обратном порядке...


Собственно куда больше вопросов вызывает сам процесс переподключения цепей по критерию удобства трассировки при условии соблюдения целой кучи правил (следующих из внутренней структуры ПЛИСины и требований схемы). Тема-то пока не раскрыта, я не понимаю, как IOD может в этом помочь... А уж вытянуть из разведенной платы имена цепей с номерами пинов ПЛИСины, куда они подключены, это мелочь по сравнению с процессом определения этих пинов.

Я могу сказать, как я делал это в пикаде. Просто "swap pins" для пинов, которые не отмечены как свопуемые, глядя на "нитки" цепей. Он их свопил, задав грозный вопрос, уверен ли я. А я отвечал на него "да", вручную убедившись, что никакие правила не нарушаю. После чего бэк-аннотация в схему, и там переподключение цепей без какого либо изменения символа. Тут из-за вышеуказанной особенности (перенумерации пинов вместо переподключения цепей) я не могу использовать своп. И я хочу, чтобы знающие люди подсказали, как это столь же оперативно, или еще оперативнее, сделать в Exp. И, желательно, чтобы все правила, какие цепи куда можно, а куда нельзя, отслеживались бы автоматом (потратить время на их задание вполне оправдано).

Цитата(fill @ Sep 15 2009, 12:14) *
то при изменении номера можно изменить (автоматически) и имя.

А можно как-то поподробнее о применении этого к описанной мной задаче?
fill
Цитата(SM @ Sep 15 2009, 12:21) *
Собственно куда больше вопросов вызывает сам процесс переподключения цепей по критерию удобства трассировки при условии соблюдения целой кучи правил (следующих из внутренней структуры ПЛИСины и требований схемы). Тема-то пока не раскрыта, я не понимаю, как IOD может в этом помочь... А уж вытянуть из разведенной платы имена цепей с номерами пинов ПЛИСины, куда они подключены, это мелочь по сравнению с процессом определения этих пинов.

Я могу сказать, как я делал это в пикаде. Просто "swap pins" для пинов, которые не отмечены как свопуемые, глядя на "нитки" цепей. Он их свопил, задав грозный вопрос, уверен ли я. А я отвечал на него "да", вручную убедившись, что никакие правила не нарушаю. После чего бэк-аннотация в схему, и там переподключение цепей без какого либо изменения символа. Тут из-за вышеуказанной особенности (перенумерации пинов вместо переподключения цепей) я не могу использовать своп. И я хочу, чтобы знающие люди подсказали, как это столь же оперативно, или еще оперативнее, сделать в Exp. И, желательно, чтобы все правила, какие цепи куда можно, а куда нельзя, отслеживались бы автоматом (потратить время на их задание вполне оправдано).


А можно как-то поподробнее о применении этого к описанной мной задаче?



http://megratec.ru/data/ftp/exp_movie/new/...OD_Exp_2BGA.avi

Как видите в этом простом примере я переставляю пины в соответствии с текущим распределением "ниток" и делаю это непосредственно в IOD, при этом я могу видеть все компоненты платы или отфильтровать (только "нитки" идущие к ПЛИС, и\или определенные цепи и т.д,) затем передаю эту информацию на плату. В данном случае показана одновременная оптимизация "ниток" на двух ПЛИС расположенных на плате и связанных друг с другом.

В http://megratec.ru/download/163/168/
Под следующими заголовками показан процесс оптимизации "ниток" для ПЛИС в контексте большой платы (видео старые поэтому процесс сейчас немного отличается).

Планировка выводов ПЛИС в контексте платы в IO_Designer, обновление информации о переопределении выводов через обменный файл, формирование набора символов для схемы, генерация символов и схемы в проект DxDesigner

Удаление старой информации компонента ПЛИС и его символов из Центральной Библиотеки, импорт нового описания компонента (PDB) и его символов сгенеренных из IO_Designer

и т.д.
SM
Спасибо! Выглядит привлекательно.
И еще вдогонку два вопроса.
1) Можно ли при всем этом процессе не перегенерировать символ? А только манипулировать подключением цепей к имеющемуся символу? Хотя это и не определяющее, просто дань привычке.
2) Есть ли в природе IOD для платформы rhel?

Вопрос 2 изменяется. Что он есть, я уже и сам знаю, а можно ли IOD запускать не на том компе, на котором Exp? При условии, что к обоим подмонтирован носитель с ЦБ и проектом. (на случай, если я не найду линуксовый IOD)
Frederic
Цитата(fill @ Sep 15 2009, 11:14) *
Прямым для IOD понимается чтение информации о сигналах из Нажмите для просмотра прикрепленного файла и присвоенных номеров пинов из Нажмите для просмотра прикрепленного файла далее ее передача в схему и плату. Обратно - выше перечисленное в обратном порядке rolleyes.gif Так что в данном процесе не надо быть провидцем biggrin.gif

fill , если возможно выложи файлики о которых идет речь, просто сам я их правильно не нарисую sad.gif
fill
Цитата(SM @ Sep 15 2009, 12:49) *
Спасибо! Выглядит привлекательно.
И еще вдогонку два вопроса.
1) Можно ли при всем этом процессе не перегенерировать символ? А только манипулировать подключением цепей к имеющемуся символу? Хотя это и не определяющее, просто дань привычке.
2) Есть ли в природе IOD для платформы rhel?


1. При свопировании пинов меняется на символе в пине как номер так и имя. Что будет при этом происходить на схеме в DxD на которую вы собираетесь его разместить (как я предполагаю из вашего стиля работы) не пробовал, т.к. предпочитаю работать с иерархией, как было показано в видео - на верхней схеме символ блока для ПЛИС (на котором имя_пина=имя_сигнала) к которому подключаются внешние цепи (сигналы). При таком подходе один раз рисуем верхнюю схему и при всех перестановках пинов ПЛИС, ее это уже не затрагивает, а внутреннюю подсхему генерирует сам IOD - соответственно сам ее и правит при перестановках. "Причесать" эту подсхему можно будет в финальной стадии, тогда когда закончаться все перестановки.
2. Нажмите для просмотра прикрепленного файла
fill
Цитата(Frederic @ Sep 15 2009, 13:16) *
fill , если возможно выложи файлики о которых идет речь, просто сам я их правильно не нарисую sad.gif



О каких конкретно файликах речь? Я ведь их тоже "не рисую". Взял готовые файлы VHDL из старого примера FPGA_Advantage. Файлы с присвоенными номерами выводов можно получить или из систем разработки ПЛИС (ISE, Квартус и т.п) или наоборот сгенерировать из текущего размещения в IOD - все это перечислено в меню Import и Export - в зависимости от текущей задачи выбираем нужный пункт и получаем что хотели.

Цитата(SM @ Sep 15 2009, 12:49) *
Вопрос 2 изменяется. Что он есть, я уже и сам знаю, а можно ли IOD запускать не на том компе, на котором Exp? При условии, что к обоим подмонтирован носитель с ЦБ и проектом. (на случай, если я не найду линуксовый IOD)


Да.
Frederic
Цитата(fill @ Sep 15 2009, 13:41) *
О каких конкретно файликах речь? Я ведь их тоже "не рисую". Взял готовые файлы VHDL из старого примера FPGA_Advantage. Файлы с присвоенными номерами выводов можно получить или из систем разработки ПЛИС (ISE, Квартус и т.п) или наоборот сгенерировать из текущего размещения в IOD - все это перечислено в меню Import и Export - в зависимости от текущей задачи выбираем нужный пункт и получаем что хотели.

конечно не рисуешь руками, меня заинтересовал файл VHDL и файл в строке FPGA Xchange (просто не знаю, что они из себя представляют), в данном вопросе сильно плавую. файл *.ucf я получу делая импорт из IOD.
fill
Цитата(Frederic @ Sep 15 2009, 14:53) *
конечно не рисуешь руками, меня заинтересовал файл VHDL и файл в строке FPGA Xchange (просто не знаю, что они из себя представляют), в данном вопросе сильно плавую. файл *.ucf я получу делая импорт из IOD.

В данном случае не импорт, а экспорт из IOD rolleyes.gif
Нажмите для просмотра прикрепленного файла
Нажмите для просмотра прикрепленного файла
Frederic
Цитата(fill @ Sep 15 2009, 14:15) *

конечно экспорт smile.gif по нему проверяю куда цепи подцепились
спасибо
baken
Задам вопрос сюда. EE2007.6 DXD. Добавляю еще один символ в деталь. Основной и альтернативный. При размещении на схеме основной символ встает нормально, а альтернативный ез PartNumber. Само свойство PartName при размещении альтернативного символа на сехме есть, и видимо, но оно не заполнено. И это только для альтернативных символов. Вопрос. Так можно два символа на одну деталь цеплять в DxD или нет? Допустим у меня два изображения детали, каждое по 8 видов. Сейчас получается чтобы добавить новый символ нельзя просто подцепить к детали как альтернативу, а только в виде расширения. Основной символ Part.1..Part.8 и альтернативный Part.9..Part.16. В документации по этому вопросу ничего не нашел. Там просто говорится об импорте символа.
fill
Цитата(baken @ Sep 21 2009, 13:13) *
Задам вопрос сюда. EE2007.6 DXD. Добавляю еще один символ в деталь. Основной и альтернативный. При размещении на схеме основной символ встает нормально, а альтернативный ез PartNumber. Само свойство PartName при размещении альтернативного символа на сехме есть, и видимо, но оно не заполнено. И это только для альтернативных символов. Вопрос. Так можно два символа на одну деталь цеплять в DxD или нет? Допустим у меня два изображения детали, каждое по 8 видов. Сейчас получается чтобы добавить новый символ нельзя просто подцепить к детали как альтернативу, а только в виде расширения. Основной символ Part.1..Part.8 и альтернативный Part.9..Part.16. В документации по этому вопросу ничего не нашел. Там просто говорится об импорте символа.


Не вижу проблемы Нажмите для просмотра прикрепленного файла
baken
Цитата(fill @ Sep 21 2009, 13:39) *

Проблема есть, у Вашей детали название PartName прописано явно в символе. А вот если PartName заполнять в детали, то будет как раз то, о чем я написал.
Если PartName прописывать явно в символе, то сколько будет деталей, столько нужно отдельных символов.
А из детали PartName прописывается только в символ по умолчанию, и не проходит в альтернативный. В оригинальной библиотеке все что сделал, это удалил значение PartName из символа. Прикреплено четыре картинки, параметры символов и их поведение на схеме.
fill
Вы для начала разберитесь что такое Part_Name (поищите, в конфе было подробно описано).
Его значение может быть одинаковым на множестве символов.
Уникально только значение Part_Number.
В вашей фразе
Цитата
Если PartName прописывать явно в символе, то сколько будет деталей, столько нужно отдельных символов.
Вместо PartName надо поставить Part_Number.
Part_Name можно вообще не использовать (особенно если не знаете способ его использования rolleyes.gif ).
baken
Цитата(fill @ Sep 21 2009, 16:07) *
Вы для начала разберитесь что такое Part_Name (поищите, в конфе было подробно описано).
Его значение может быть одинаковым на множестве символов.
Уникально только значение Part_Number.
Part_Name можно вообще не использовать (особенно если не знаете способ его использования rolleyes.gif ).

Спасибо за исчерпывающий ответ.
baken
Не может DxD принять ничего, кроме PartNumber. И это уже недостаток. Потому что полноценный PartNumber практически нельзя использовать в схеме, из за длины и состава. Длинный ряд цифр и букв в которых закодирован штрих код мало что скажет. Вот например ГУН Murata MXE453902M1747A1. Надпись длиннее символа. И ничего не говорит. А PartName как раз удобно использовать как смысловое обозначение на схеме. А DxD его не принимает.
cioma
А кто Вам сказал что Central Library PartNumber и manufacturer's partnumber - это одно и то же? Вы вольны вписать туда что угодно, лишь бы там не было запрещенных символов и обеспечивалась уникальность
baken
Цитата(cioma @ Sep 22 2009, 02:07) *
А кто Вам сказал что Central Library PartNumber и manufacturer's partnumber - это одно и то же? Вы вольны вписать туда что угодно, лишь бы там не было запрещенных символов и обеспечивалась уникальность

А где Вы предлагаете записывать реальный p/n? На тетрадном листочке в клеточку, и хранить его в заднем кармане брюк. Ой, да в баню этот вопрос. Я убил на него шесть часов своего времени. Кривое решение нашел. А прямое пускай производитель софта думает.
timon_by
Цитата(baken @ Sep 22 2009, 03:14) *
А где Вы предлагаете записывать реальный p/n?


В DC система аналогичная, но при вставке компонента на схему пользуюсь PartManager, где организован поиск по куче параметров и можно настроить его на "любой вкус и цвет". В DxD есть DataBook - мануал Вам в руки. А отображение атрибутов на схеме тоже можно настроить и сохранить (в DC точно, в DxD - скорее всего)
cioma
Цитата(baken @ Sep 22 2009, 02:14) *
А где Вы предлагаете записывать реальный p/n? На тетрадном листочке в клеточку, и хранить его в заднем кармане брюк. Ой, да в баню этот вопрос. Я убил на него шесть часов своего времени. Кривое решение нашел. А прямое пускай производитель софта думает.


А что мешает задать свой атрибут для DxD (например пару 'MANUFACTURER' и 'MANUFACTURER_PARTNUMBER') и делать с ними все что душе угодно (писать туда любые символы, генерить BOM итп)? Конечно, чтоб было все это удобно нужно использовать DxDataBook wink.gif
baken
Цитата(cioma @ Sep 22 2009, 13:22) *
А что мешает задать свой атрибут для DxD (например пару 'MANUFACTURER' и 'MANUFACTURER_PARTNUMBER') и делать с ними все что душе угодно (писать туда любые символы, генерить BOM итп)? Конечно, чтоб было все это удобно нужно использовать DxDataBook wink.gif

Я тут понаписал сначала... Даже комментировать не буду.
timon_by
baken

Посмотрите, где-то в этой ветке пробегали ссылки на трейнинги и лабы по маршруту на русском (их же можно скачать с сайта мегратека). Попробуйте выполнить их, времени уйдет меньше и пользы будет больше rolleyes.gif . Если что-то не получается - спрашивайте.
baken
Цитата(timon_by @ Sep 22 2009, 14:06) *
Посмотрите, где-то в этой ветке пробегали ссылки на трейнинги и лабы по маршруту на русском (их же можно скачать с сайта мегратека). Попробуйте выполнить их, времени уйдет меньше и пользы будет больше rolleyes.gif . Если что-то не получается - спрашивайте.

timon_by я спрашиваю у Вас, каким образом подключить Partname к детали, у которой больше одного символа. Если эта фича появляется для основного символа, то должна появляться и для альтернативного. Простой вопрос. Вся информация, по тому, что требуется выше есть.

PS: Поскольку тут уже вместо прямого ответа неоднократно намекалось на мою некомпетентность, на незнание доков, пошел читать, проходить тренинги и так далее. Пускай этот пакет тестируют, проверяют, комментируют более компетентные и менее занятые товарищи. Все вопрос считаю закрытым до полного просветления smile.gif
fill
Цитата(baken @ Sep 22 2009, 14:11) *
timon_by я спрашиваю у Вас, каким образом подключить Partname к детали, у которой больше одного символа. Если эта фича появляется для основного символа, то должна появляться и для альтернативного. Простой вопрос. Вся информация, по тому, что требуется выше есть.

PS: Поскольку тут уже вместо прямого ответа неоднократно намекалось на мою некомпетентность, на незнание доков, пошел читать, проходить тренинги и так далее. Пускай этот пакет тестируют, проверяют, комментируют более компетентные и менее занятые товарищи. Все вопрос считаю закрытым до полного просветления smile.gif


Ну хорошо раз вы такой обидчивый и занятой, опишу все это в очередной раз (если не ошибаюсь в третий раз). Уж извините буду писать коротко т.к писать трактат еще раз у меня нет ни времени ни сил.
Part Number - уникальный номер производителя, по нему упаковщик считывает информацию о PDB и соответственно компоненте и его номерах ног.
Part Name - функциональное имя, соответственно может быть одинаковым у множества PDB.

В процессе упаковки схемы, Packager ищет Part Number на каждом символе и если его находит, то считывает информацию из PDB с этим значением Part Number. Если Part Number пусто, то ищется Part Name и по нему ищется первый попавшийся PDB с таким же значением Part Name, далее этому символу на схеме присваивается соответствующий Part Number.
Part Number можно скрыть от отображения на схеме, но он обязательно должен присутствовать для конкретизации упаковки.
Part Name может как присутствовать на схеме, так и отсутствовать. Его удобно применять для создания схемы не привязанной к какому-либо производителю. Привязка произойдет при упаковке - какой раздел ЦБ будет стоять в схеме поиска первым, оттуда и считаются соответствующие Part Number.

Исходя из выше изложенного, добавьте Part Name с одинаковым значением ко всем символам с одинаковой функциональностью и это все что от вас требуется, для решения данной задачи.
Или заведите доп. атрибут чтобы отображать на схеме его, как вам указали выше.
SM
MVO: никак не выходит каменный цветок....

вот написал MultiViaRules.txt:
Код
.FILETYPE MULTIVIA_RULES
.VERSION "01.00"
.CREATOR "SM"
.DATE "Friday, September 25, 2009 10:27 PM"
.UNITS TH
.PHYSICAL_LAYERS 6
.MVO_RULE_SET "(Default)"
..VIASPAN
...LAYER_NUM_RANGE (1,2)
...PADSTACK "(Default Via)"
...MVO_RULE
....WIDTH_EQUAL_OR_GREATER 7
....VIA_COUNT 2
...MVO_RULE
....WIDTH_EQUAL_OR_GREATER 12
....VIA_COUNT 3
...MVO_RULE
....WIDTH_EQUAL_OR_GREATER 20
....VIA_COUNT 4
...MVO_RULE
....WIDTH_EQUAL_OR_GREATER 30
....VIA_COUNT 6
..VIASPAN
...LAYER_NUM_RANGE (5,6)
...PADSTACK "(Default Via)"
...MVO_RULE
....WIDTH_EQUAL_OR_GREATER 7
....VIA_COUNT 2
...MVO_RULE
....WIDTH_EQUAL_OR_GREATER 12
....VIA_COUNT 3
...MVO_RULE
....WIDTH_EQUAL_OR_GREATER 20
....VIA_COUNT 4
...MVO_RULE
....WIDTH_EQUAL_OR_GREATER 30
....VIA_COUNT 6
.NET_CLASS_SCHEME "(Master)"
..NET_CLASS "(Default)"
...USE_MVO_RULE_SET "(Default)"


поместил его в PCB/Config проекта.

и... никаких изменений... никакой диагностики... никаких ошибок... Как получить оный MVO в процессе ручной разводки? Какую толщину дорожки не ставил, ни на переходе 1-2, ни на 5-6 оно не получается. Где я налажался или недоделал?



------
И еще. Какого ... оно (ни DxD, ни Exp) не выводит ничего на печать? CUPS сконфигурирован правильно, весь нормальный софт (тот же акробат ридер например) видит принтер и печатает корректно. Т.е. в системе точно все правильно. Более того, принтер даже расшарен самбой и его видят все вокруг. А вот у Exp/DxD в диалоге печати один какой-то "Generic Postscript Printer" на который печатать - как в бездну. Типа печатает, но куда...
fill
вторую строчку измените на
.VERSION "03.02"

Если правила считались, то в MVO_rules_log.txt увидите Нажмите для просмотра прикрепленного файла
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.