Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: MG Expedition ликбез ...
Форум разработчиков электроники ELECTRONIX.ru > Печатные платы (PCB) > Разрабатываем ПП в САПР - PCB development > Mentor-ExpeditionPCB
Страницы: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81
SM
-----
Доп. вопросы снимаются. После прохода fanout по цепям питания я все вручную перепахал как надо. После чего все доразвелось.

Кроме, разве что вопроса с балансом длинных петель/лишних via
f0GgY
Цитата(Andar @ Nov 20 2009, 17:11) *
После установки 2007.5 появилась жесткая трабла - если делать Package Design в DC или Forward Annotation в PCB вылетает сообщение об ошибке - Connection with iCDB server has been lost. ...
Info: The iCDB server has been restarted. Constraint updates can now be made.
Ошибка появляется независимо от того работаю с проектом с сервера или скачиваю все себе и работаю локально. Антивирус и фаервол отключаю - нет эффекта. Переустанавливал Ментор - все тоже самое. Такая ерунда на всех проектах - а не на каком то одном.
Куда копать дальше? Может кто-нибудь сталкивался с подобным?

Как раз таки попробуй фаер поставить в режим обучения, и посмотреть лезет ли icbd на сервак, на серваке смотреть права доступа.
SM
Ограничения на длины fanout что на скриншоте - я так и не понял на что они влияют. И что это за restricted layers. Оно, что там не ставь, все равно может под чипом после микровиа протащить на другой конец корпуса. Ну да ладно, хрен с ним, проехал...

Вот вопрос - что это такое:

Warning: An error occured updating the Material/Process database from the central library.

При этом все типа как работает... И в центральную либу я не лазил уже очень давно, само как-то появилось.
fill
Цитата(SM @ Nov 23 2009, 18:57) *
Ограничения на длины fanout что на скриншоте - я так и не понял на что они влияют. И что это за restricted layers. Оно, что там не ставь, все равно может под чипом после микровиа протащить на другой конец корпуса. Ну да ладно, хрен с ним, проехал...

Вот вопрос - что это такое:

Warning: An error occured updating the Material/Process database from the central library.

При этом все типа как работает... И в центральную либу я не лазил уже очень давно, само как-то появилось.


1. Например запретили трассировать на 1 слое и установили external=0 то ничего не получите. Установив 10 получите (или нет - зависит от остальных правил) фанаут. Тоже самое для внутренних.
2. Уже объяснял
SM
Цитата(fill @ Nov 23 2009, 20:01) *
1. Например запретили трассировать на 1 слое и установили external=0 то ничего не получите. Установив 10 получите (или нет - зависит от остальных правил) фанаут.

Теперь ясно. А как все таки ограничить длину фанаута на слое, где разрешено трассировать?
fill
Цитата(SM @ Nov 23 2009, 20:30) *
Теперь ясно. А как все таки ограничить длину фанаута на слое, где разрешено трассировать?


Никак. Поэтому выключаем разрешение трассировки для данного класа цепей на данном слое(ях), делаем фанауты, включаем разрешение и делаем все остальное.
SM
Очередной мелкий вопросик. Вот например есть несколько объектов, перекрывающий друг друга. Например assembly, silkscreen и placement аутлайны, точно повторяющее геометрией друг друга. Как выделить их всех по очереди, чтобы поменять свойства, не отключая отображение объектов? Ну типа как в пикаде было - когда тыкнешь в точку, где куча объектов, окошко выскакивало со списком всего того, что там можно выделить. Или была возможность "перебирать" тычками мыши последовательно все объекты.
AlexN
Цитата(SM @ Dec 8 2009, 19:46) *
Очередной мелкий вопросик. Вот например есть несколько объектов, перекрывающий друг друга. Например assembly, silkscreen и placement аутлайны, точно повторяющее геометрией друг друга. Как выделить их всех по очереди, чтобы поменять свойства, не отключая отображение объектов? Ну типа как в пикаде было - когда тыкнешь в точку, где куча объектов, окошко выскакивало со списком всего того, что там можно выделить. Или была возможность "перебирать" тычками мыши последовательно все объекты.


волшебная клавиша Tab
Жека
Не нашел специальной темы, поэтому спрошу здесь. Зачем в 2007 понадобилось менять элементы, из которых состоит герберный полигон? Раньше это были отрезки одинаковой ширины, стыковавшиеся параллельно. А теперь это
а) в режиме Raster цельный полигон
б) в режиме Draw набор хаотично расположенных отрезков и дуг разной ширины.
Если генерить Raster, получаем тормоза и краш CAM350 v8.6, либо просто тормоза в CAM350 v10.
Если генерить Draw, получаем неадекватно залитый полигон с артефактами cranky.gif

А ведь полигоны в 2005 генерились быстро и качественно
AlexN
Цитата(Жека @ Dec 15 2009, 04:06) *
Не нашел специальной темы, поэтому спрошу здесь. Зачем в 2007 понадобилось менять элементы, из которых состоит герберный полигон? Раньше это были отрезки одинаковой ширины, стыковавшиеся параллельно. А теперь это
а) в режиме Raster цельный полигон
б) в режиме Draw набор хаотично расположенных отрезков и дуг разной ширины.
Если генерить Raster, получаем тормоза и краш CAM350 v8.6, либо просто тормоза в CAM350 v10.
Если генерить Draw, получаем неадекватно залитый полигон с артефактами cranky.gif

А ведь полигоны в 2005 генерились быстро и качественно


Visual CAM открывает нормально, без тормозов, хотя опасения по этому поводу были.
fill
http://megratec.ru/forum/1/?find=plane
baken
Цитата
По аналогии с CAM350 (там тоже проблема с первичной обработкой позитивных плэйн) - В EE2007 позитивный плэйн передается одной заполненной (Filled) фигурой, а в предыдущих версиях преобразовывался в набор отрезков заполняющих плэйн. Насколько я понимаю у CAM350 (и им подобных) проблемы с чтением фигуры типа Filled, чтение может занимать несколько часов.

Задам вопрос по другому. Есть ли способ, преобразовать Filled позитивный план в набор отрезков в принципе. То есть хоть кто нибудь, когда нибудь такое для себя делал или нет?
Жека
Цитата(AlexN @ Dec 15 2009, 07:12) *
Visual CAM открывает нормально, без тормозов, хотя опасения по этому поводу были.

Уточню, размерность моего проекта 9 тысяч пинов. Тормоза не сильные, минут несколько, но в 2005 не было и их smile.gif
COCAINE
Для работы с ADS (Agilent) есть библиотека ShapesLibrary.

Вопрос первый - как все элементы с этой библиотеки перенести в центральную библиотеку?

Вопрос второй. Создаем проект -> создаем схему предположим с одним символом - MLIN (с определенными параметрами). Когда переходим в PCB ячейка с параметрами MLIN автоматически создается или ее необходимо создать заранее?

Вопрос третий - в библиотеке MLIN длиной 25mil. В проекте ADS длина линии состовляет 30mil. Что бы перекинуть схему из ADS в DxDesigner нужно создовать новый символ MLIN с длиной 30mil или есть какой то другой способ?


Мои действия такие:
Создаю проект
Подключаю библиотеку ShapesLibrary.
Создаю схему port-mlin-port
Открываю PCB
Forwar Annotation (горят все зеленые светофоры)
Place Parts and Cells -> Criterion: Spare by Package Cell

... и вот тут вопрос - как перенести эту ячейку на плату?

С ментором никогда не работал, да и платы не разводил толком... но т.к. работаю с СВЧ необходимо топологию отдать на изготовление, поэтому приходится разбираться в менторе... от начал до конца прогу штудировать как-то не охото
Frederic
Цитата(COCAINE @ Dec 18 2009, 13:21) *
...
Мои действия такие:
Создаю проект
Подключаю библиотеку ShapesLibrary.
Создаю схему port-mlin-port
Открываю PCB
Forwar Annotation (горят все зеленые светофоры)
Place Parts and Cells -> Criterion: Spare by Package Cell

... и вот тут вопрос - как перенести эту ячейку на плату?

С ментором никогда не работал, да и платы не разводил толком... но т.к. работаю с СВЧ необходимо топологию отдать на изготовление, поэтому приходится разбираться в менторе... от начал до конца прогу штудировать как-то не охото

про всю Одессу не скажу smile.gif
раз есть схема то есть связи то Place Parts and Cells -> Criterion: RefDes
поставь галку на Unplcaced и поиграйся стрелочками
с поля Active тащи компанент на плату
COCAINE
Цитата(Frederic @ Dec 18 2009, 13:48) *
про всю Одессу не скажу smile.gif
раз есть схема то есть связи то Place Parts and Cells -> Criterion: RefDes
поставь галку на Unplcaced и поиграйся стрелочками
с поля Active тащи компанент на плату

пусто в перечне Criterion: RefDes
ячейку видно в Criterion: Spare by Package Cell

проект прикреплен
библиотека лежит в C:\MentorGraphics\2007EE\SDD_HOME\standard\RF\ShapesLibrary

использую EE2007.3





сейчас попробывал добавить конденсатор - проблем нет... а как вот что делать с RF символами...
fill
Видео
Сделано на основе проекта из Нажмите для просмотра прикрепленного файла при желании за пару часов изучите
COCAINE
fill
как же я рад smile.gif

спасибо огромное
VladimirB
Пытаюсь разобраться с EE2007.7 и DC2007.7.
Имеется проект из многостраничной схемы в DC и соответствующей ей платы в EE
+CES и +Центральная библиотека.

Всё какое-то время работает: вносишь изменения в схему и они отображаются на плате.
Но в один прекрасный момент после внесения изменений в схему и запуска ICDB compilera, Packagera и Forward Annotation
некоторые NETы, которые в схематике имеются на нескольких страницах, разбиваются на две части: например "VCC_2V5" и "VCC_2V5_p2". В схематике данная цепь имеет одинаковое название "VCC_2V5" на разных страницах и соединена InterPage коннекторами и ошибок (warningoв) при Verify не выдаёт. А в Expedition и в CES она уже разбита на две цепи с разными названиями. Доходит до того, что уже проведённые и зафиксенные дорожки разрываются на части.

Частично проблема лечится внесением данного сигнала в список глобальных. Но не будешь же вносить в глобальные все межстраничные соединения и дифференциальныем пары.
Ещё было замечено что после плясок с бубном (перезапуск DC, изменение центральной библиотеки в DC на туже самую, удаления и добавления той же самой схемы) неты переименовываются как надо, но разорваные дорожки в Expedition и констраинты в CES не восстанавливаются sad.gif .

Что это глюк или фича? И есть более цивилизованный метод устранения проблемы?
И как можно сделать хотя бы откат изменений в списке цепей к предыдущему состоянию, чтобы при возникновении проблемы поплясать с бубном
и избежать разрыва дорожек и изменения констраинтов в CES?

Вот здесь описана похожая проблема: http://megratec.ru/forum/1/?theme=3508
но как обычно у filla всё работает.

Ниже пример как переименовались дифференциальные пары которые в DC названы культурно как DA0+, DA0-, DA1+, DA1- и тд.
(вернее сказать они были дифференциальными до их самопереименования).
Inpharhus
Цитата(VladimirB @ Dec 21 2009, 00:59) *
Но в один прекрасный момент...

Бывает такой глюк, сам недавно мучался. Помогает такой способ: В директории проекта нужно удалить папку "имя_проекта.icdb", после чего заново скомпилировать и упаковать схематик, в экспедишине сделать форвард. Причем упаковывать и компилировать не стандартными кнопками на панели Compile iCDB и Package Design, а через кнопку (напоминает символ инь-янь, только трехцветный) Other Utilities -> Compile iCDB и Packager. Не знаю в чем разница, но при использовании разница есть.
P.S. Справедливости ради следует заметить что уже впоследствии в схематике была найдена ошибка, после устранения которой заработали компиляция и упаковка стандартным способом. Так что стоит внимательно просмотреть схематик, особенно на странице имя которой добавляется к сигналу. Я например забыл удалить за рамкой листа кусочек схемы который копировался и был временно туда помещен smile.gif
VladimirB
Цитата(Inpharhus @ Dec 21 2009, 09:41) *
Бывает такой глюк, сам недавно мучался. Помогает такой способ: В директории проекта нужно удалить папку "имя_проекта.icdb", после чего заново скомпилировать и упаковать схематик, в экспедишине сделать форвард. Причем упаковывать и компилировать не стандартными кнопками на панели Compile iCDB и Package Design, а через кнопку (напоминает символ инь-янь, только трехцветный) Other Utilities -> Compile iCDB и Packager. Не знаю в чем разница, но при использовании разница есть.
P.S. Справедливости ради следует заметить что уже впоследствии в схематике была найдена ошибка, после устранения которой заработали компиляция и упаковка стандартным способом. Так что стоит внимательно просмотреть схематик, особенно на странице имя которой добавляется к сигналу. Я например забыл удалить за рамкой листа кусочек схемы который копировался и был временно туда помещен smile.gif


Значит будем тестить работу с ИньЯнь, раз ничего другого не остаётся.
Ошибок в схеме точно нету: проверялось многократно и всё работало, пока не добавил ещё один резистор в схему.
Вот что сделал это глюк с разводкой дифф-пар:
Inpharhus
Подскажите, возможно ли в Net Properties массово задавать соответствие диф.пар подобно тому как это делается в CES?
Ну или хотя бы как-то упростить этот процесс, а то вручную набирать номера диф.пар надоедает...
Frederic
Цитата(Inpharhus @ Jan 14 2010, 10:38) *
Подскажите, возможно ли в Net Properties массово задавать соответствие диф.пар подобно тому как это делается в CES?
Ну или хотя бы как-то упростить этот процесс, а то вручную набирать номера диф.пар надоедает...


т.е. ты CES не используется?
но это для маршрута PADS, где нет CES.
Inpharhus
Цитата(Frederic @ Jan 14 2010, 14:40) *
т.е. ты CES не используется?
но это для маршрута PADS, где нет CES.

Маршрут DC-EE, при создании проекта CES можно отключить. Но вопрос не в этом.
Если нормально присваивать диф.пары можно только в CES, так и скажите.
Frederic
Цитата(Inpharhus @ Jan 14 2010, 13:52) *
Маршрут DC-EE, при создании проекта CES можно отключить. Но вопрос не в этом.
Если нормально присваивать диф.пары можно только в CES, так и скажите.


ооо DC не знаю, работаю в DxD и там есть ньюанс, что дифпары создаются только в CES
fill
Цитата(Inpharhus @ Jan 14 2010, 14:52) *
Маршрут DC-EE, при создании проекта CES можно отключить. Но вопрос не в этом.
Если нормально присваивать диф.пары можно только в CES, так и скажите.


Только в CES
moriarty
Искал по форуму ответ,но не нашел...
Возможно у кого-то была уже ситуация в MG2007.7 в Library Manager остаются неактивными Cell Editor, PadStack Editor, PartsManager, думал , что из-за какой-то несовместимости с библиотекой которая была сделана на MG2007.5, но даже когда создаеш новую библиотеку, картина таже...
Vadim
Цитата(moriarty @ Jan 20 2010, 12:29) *
остаются неактивными Cell Editor, PadStack Editor, PartsManager

Что, все сразу??? У меня это бывает только в одном случае - если эти самые Cell Editor, PadStack Editor, PartsManager уже запущены.
fill
Если LM запущен из схемного редактора то естественно отключены редакторы не относящиеся к "схемной части". И наоборот при запуске из Expedition будет отключен Symbol_Editor - это все опции лицензирования. Чтобы были доступны все редакторы надо запускать LM самостоятельно из Start>Programs>.... (естественно при наличии отдельной лицензии на LM rolleyes.gif )
moriarty
Спасибо за ответы! Кажись разобрался, если запускаеш отдельно Library Manager, то Cell Editor, PadStack Editor, PartsManager остаются не активны, но если, запустить Library Manager из Expedition РСВ, то все перечисленные становятся активными, похоже действительно заморочка с LM smile.gif

(LM я имею в виду Lecense Manager а не Library Manager)
Demeny
Есть PCB дизайн, например, 8 слоев. Все файлы в наличии - только гербера, исходников проекта в каком-то известном формате, из которого можно было бы импортитровать в Expedition - нету.
Вопрос в том, можно ли эти гербера использовать в новом PCB проекте в Expedition 2007, что называется, "как есть", целиком, единым модулем ? Ясно, что новый проект должен иметь не меньше 8 слоев, и что редактировать топологию этого модуля будет, вообще говоря, нереально, но всё же ...
Что-то подсказывает мне, что нужно сделать его одним Cell-ом, сделать к нему "кубик" в схематике и из всего этого сгенерировать один Part. Но вот как затащить в Cell-редактор имеющиеся гербера по 8 слоям + маска + шелкография ? Возможно ли это сделать, не перерисовывая гербера послойно вручную (они достаточно сложные) ?
Frederic
Цитата(Demeny @ Jan 29 2010, 14:16) *
Все файлы в наличии - только гербера,

т.е. только гербера ?
но гербер это просто набор типоразмеров засветок

Цитата
исходников проекта в каком-то известном формате, из которого можно было бы импортитровать в Expedition - нету.

но исходники все таки есть ?

все остальное про единые модули, один Cell, "кубик" в схематике и т.д. и т.п. - фантазии
вывод: придется перерисовывая гербера послойно вручную или ищи реальный рсв.
fill
Цитата(Demeny @ Jan 29 2010, 15:16) *
Есть PCB дизайн, например, 8 слоев. Все файлы в наличии - только гербера, исходников проекта в каком-то известном формате, из которого можно было бы импортитровать в Expedition - нету.
Вопрос в том, можно ли эти гербера использовать в новом PCB проекте в Expedition 2007, что называется, "как есть", целиком, единым модулем ? Ясно, что новый проект должен иметь не меньше 8 слоев, и что редактировать топологию этого модуля будет, вообще говоря, нереально, но всё же ...
Что-то подсказывает мне, что нужно сделать его одним Cell-ом, сделать к нему "кубик" в схематике и из всего этого сгенерировать один Part. Но вот как затащить в Cell-редактор имеющиеся гербера по 8 слоям + маска + шелкография ? Возможно ли это сделать, не перерисовывая гербера послойно вручную (они достаточно сложные) ?


1. Можно попробовать восстановить из гербера проект платы через CAMCAD_professional - импортировать гербер, указать какие элементы гербера формируют компоненты, таким образом сформировать библиотеку компонентов и т.д.
2. На форуме pcad.ru была тема про восстановление из герберов и там давались ссылки на другие программы ориентированные на решение данного вопроса.
3. Можно перевести гербер в DXF (в том числе и через Expedition) который можно импортировать внутрь Package Cell, переопределить свойства импортированных фигур в Conductive Shapes, трассы, пины и т.п. и т.д.
Demeny
Цитата(fill @ Jan 29 2010, 17:02) *
3. Можно перевести гербер в DXF (в том числе и через Expedition) который можно импортировать внутрь Package Cell, переопределить свойства импортированных фигур в Conductive Shapes, трассы, пины и т.п. и т.д.

Спасибо, примерно так я себе эту процедуру и представлял. Меня только смущают 2 вещи: 1) встречал только "двухслойные" Cell-ы, не будет ли проблем с большим количеством Conductive Shape, да ещё и в 8 слоях; 2) как же быть с отверстиями (drill-файл втягиваемого проекта тоже есть) ?
fill
Цитата(Demeny @ Jan 29 2010, 17:43) *
Спасибо, примерно так я себе эту процедуру и представлял. Меня только смущают 2 вещи: 1) встречал только "двухслойные" Cell-ы, не будет ли проблем с большим количеством Conductive Shape, да ещё и в 8 слоях; 2) как же быть с отверстиями (drill-файл втягиваемого проекта тоже есть) ?


1. пробуйте
2. придется вручную ставить
max77
Всем добрый день.
Вопрос по моделированию наводок. Можно ли промоделировать поведение проводников на наводку для аналоговых сигналов. Скажем есть многоканальная АЦП. К ней на вход подсоединяется входные буфера с трансформаторами. Можно ли задать входное сопротивление входа АЦП, и поведение трансформатора, что бы рассчитать величину наведенного напряжения на входе соседнего канала АЦП? Наводки именно от аналоговых цепей.
Для микросхемы АЦП есть IBIS модель, но только для цифровых выходов, а не для аналоговых входов. Для трансформатора IBIS модели тоже нет.
заранее спасибо за ответы.
max77
Цитата(lepert @ Feb 8 2010, 16:14) *

Cпасибо за подробный ответ.
По поводу
Рассчитывал в HyperLynx перекрестные помехи для цифровых линий, а для аналоговых пытался подключить вместо выхода трансформатора измененную модель mod.
На одной цепи вижу наводку, но это без учёта перекрёстных помех до траснсформатора.
буду осваивать новые технологии.
Inpharhus
Есть такая кнопочка Copy Trace, к слову, очень удобная. Сегодня неприятно удивился.
Значит есть несколько разведенных цепей, выделяем их, копируем за пределы платы, исходные цепи убиваем (например попытка переразвести). А теперь пытаемся восстановить - скопировать разведенные трассы из-за пределов платы на исходную позицию и... болт, експедишн ругается на какие-то неустранимые препятствия...
Собственно вопрос - почему? И можно ли это как-нибудь обойти?

P.S. И копированию ничего не мешает. Т.е. можно предположить что я что-то сдвинул итеперь на исходную позицию скопировать нельзя, это не так. Даже если скопировать трассу за пределы платы, исходную удалить, и сразу же попытаться скопировать назад - ничего не получается.
max77
Только что попробовал - работает.
Может у вас указана сетка для цепей, а при копировании берётесь между точками сетки, а при вставлении, программа пытается ткнуть эту точку в сетку.
У меня Gloss Off, цепи не зафиксированы, компоненты зафиксированы.
fill
Подтвеждаю - работает
f0GgY
поделитесь пожалуйста опытом, кто как проверяет свои проекты.

есть проблема.
При натянутом plane shape на область, но не пролитой меди на кп(как указано на картинке), DRC, а именно смотрю на Open Netlines, сообщения об отсутствии соединения нет. Почему нет заливки, это второй вопрос, который мало волнует (из за зазоров и толщины линии полигона). Больше волнует вопрос, где смотреть на то, что нет физического соединения, т.е. не пролилось медью. На сколько я понял программа предполагает - шейп есть, значит цепь разведена.

На сколько я понимаю надо пользовать DFF. Но с каким настройками, указаниями. Помогите плиз.

Спасибо.


з.ы. вариант импорт цепей в CAM и поиск unconnected (unrouted) там не шибко удобен.
fill
Batch DRC - галочка Unrouted / Partial Plane Nets
f0GgY
да. так и сделал. убрал остальные галочки и поставил только на Unrouted / Partial Plane Nets.
эти места показались, но обозначилось и место у которого трасса есть и есть связь. Не совсем понятно почему этот пин выделился, но по крайней мере результат есть который требовался.

теперь можно ставить Unrouted / Partial Plane Nets в дисплей контролах, но для этого надо было провести BatchDRC. Значит неправильно изначально дрс запускал\пользовал, ибо раньше маркировались цепи которые давно были разведены.


спасибо.
Inpharhus
Цитата(max77 @ Feb 12 2010, 12:27) *
Только что попробовал - работает.
Может у вас указана сетка для цепей, а при копировании берётесь между точками сетки, а при вставлении, программа пытается ткнуть эту точку в сетку.
У меня Gloss Off, цепи не зафиксированы, компоненты зафиксированы.

Цитата(fill @ Feb 12 2010, 12:57) *
Подтвеждаю - работает

Поэкспериментировал с отдельной довольно короткой цепью, получилось всунуть на исходную позицию после десятка непрерывных кликов левой кнопкой. Отключал все сетки в Editor Control, расфиксировал цепь, отключил глосс... разницы никакой, скопировать удается назад, но попасть сложно.
Пытаюсь проделать тоже самое с дифпарой соединяющей две ПЛИС - болт. Пишет: Copy Trace Failed. Either unrepairable violations exist or an attempt was made to copy an unconnected trace/via set.
Мне непонятно вот что. Например есть 4 ПЛИС, связи между ними одинаковые. Я развожу одну пачку связей между 2 ПЛИС и спокойно копирую на все остальные, но только с компонентов на компоненты, если же я скопирую за пределы платы, сброшу выделение и попытаюсь скопировать из-за пределов платы - ничего не выходит. Иногда это удается сделать, но с большим трудом, похоже что нужно очень точно попасть, но почему тогда при копировании с компонента на компонент довольно большое смещение копируемой трассы относительно конечных пинов спокойно обрабатывается и цепь спокойно устанавливается на нужное место, а во втором случае корректировки смещения не происходит?
P.S. Может быть стоит акцентировать ваше внимание что цепь копируется за пределы платы не на аналогичные компоненты, а "в воздух". Вернее тут даже не обязательно "за пределы платы", просто в пределах платы нет места для расположения длинной цепи.
fill
Цитата(f0GgY @ Feb 12 2010, 14:30) *
да. так и сделал. убрал остальные галочки и поставил только на Unrouted / Partial Plane Nets.
эти места показались, но обозначилось и место у которого трасса есть и есть связь. Не совсем понятно почему этот пин выделился, но по крайней мере результат есть который требовался.

теперь можно ставить Unrouted / Partial Plane Nets в дисплей контролах, но для этого надо было провести BatchDRC. Значит неправильно изначально дрс запускал\пользовал, ибо раньше маркировались цепи которые давно были разведены.


спасибо.


Я обычно использую диалог Review_Hazard для поиска нарушений.
По поводу конкретно этой связи надо смотреть проект - скорее всего где-то недоведена физическая связь.
Inpharhus
Подскажите, плз, вот еще что. В скрипте KeyBindings.vbs можно назначать команды на клавиши клавиатуры. Можно ли там же назначить действия на дополнительные кнопки мыши, которые по умолчанию "назад" "вперед" при навигации в браузерах?
Можно конечно в драйвере мышки переназначить кнопки на какие-нибудь кнопки клавиатуры, но этот способ мне не подходит, т.к. такое переназначение глобально, а в браузере хотелось бы оставить как есть smile.gif

P.S. И возможно ли использовать одни и те же кнопки для команд в разных режимах Expedition (Place, Route, Draw)?

P.P.S. На первый вопрос отвечаю сам, командам мышки "вперед" и "назад" соответствуют сочетания Alt+Left и Alt+Right, достаточно назначить нужные команды Expedition на эти сочетания и с мышкой они тоже будут работать. Второй вопрос остается открытым...
SM
А можно ли....
В DxD+Exp внутри одного проекта скопировать полностью один Design в другой вместе с платой, констрейнами и всем-всем-всем? Ну, например - развел я плату mydesign_usb, и хочу сделать теперь mydesign_ethernet, в котором оставить почти всю разводку и схему от прародителя, но заменить трансивер (одну микруху) и разъем. При этом все внутри одного проекта должно быть.
vik0
Цитата(SM @ Feb 20 2010, 16:09) *
А можно ли....
В DxD+Exp внутри одного проекта скопировать полностью один Design в другой вместе с платой, констрейнами и всем-всем-всем? Ну, например - развел я плату mydesign_usb, и хочу сделать теперь mydesign_ethernet, в котором оставить почти всю разводку и схему от прародителя, но заменить трансивер (одну микруху) и разъем. При этом все внутри одного проекта должно быть.

А вам нужно именно внутри одного проекта? Так, afaik, нельзя. А скопировать проект со схемой и разводкой можно через "Job management wizard".
SM
Цитата(vik0 @ Feb 25 2010, 23:06) *
А вам нужно именно внутри одного проекта? Так, afaik, нельзя. А скопировать проект со схемой и разводкой можно через "Job management wizard".

Да, хочется именно внутри одного проекта. Девайс состоит из двух частей, одна с одним интерфейсом, другая с другим. Т.е. сейчас внутри проекта два design-а, каждый был сделан с нуля. Ну и соотв. теперь хочется иметь несколько design-ов одной из частей на разных вариантах интерфейсов. А скопировать весь проект - это понятно, но это не то, что хочется... Ведь не зря придумана возможность держать внутри проекта несколько разных design-ов - схем с платами.
Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.