Помощь - Поиск - Пользователи - Календарь
Полная версия этой страницы: MG Expedition ликбез ...
Форум разработчиков электроники ELECTRONIX.ru > Печатные платы (PCB) > Разрабатываем ПП в САПР - PCB development > Mentor-ExpeditionPCB
Страницы: 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81
SII
Да с правами, по идее, всё в порядке: иначе б не позволяла, например, добавлять новые записи в уже существующие таблицы SampleLib. Тем более неясно, какое отношение права доступа могут иметь к невозможности выбрать DSN -- именно ж с этим проблема. Ни ругается, ничего -- просто выпадащий список в окне Add Table остаётся пустым, хотя в окне Data Source Manager этот самый DSN успешно добавляется. Мистика-с...
cioma
А не связано ли это с 32/64-bit ODBC drivers?
Volosatyi
Можно ли в DC или в Expedition узнать, к каким пинам подключена та или иная цепь?
fill
Цитата(Volosatyi @ Mar 22 2012, 12:48) *
Можно ли в DC или в Expedition узнать, к каким пинам подключена та или иная цепь?

Вариантов масса:
- внутри \PCB\Logic\Netlist.aug
- CES
...
Volosatyi
Цитата(fill @ Mar 22 2012, 13:09) *
Вариантов масса:
- внутри \PCB\Logic\Netlist.aug
- CES
...


В CES во вкладке Nets у меня показывает только количество пинов на этой цепи. А узнать куда именно идет цепь через CES как?
fill
Цитата(Volosatyi @ Mar 22 2012, 14:34) *
В CES во вкладке Nets у меня показывает только количество пинов на этой цепи. А узнать куда именно идет цепь через CES как?


Filters>Levels>Pins
Filters>Levels>Fromto
Volosatyi
Спасибо, разобрался
SII
Цитата(cioma @ Mar 22 2012, 03:30) *
А не связано ли это с 32/64-bit ODBC drivers?


Ну, во-первых, PADS работал без проблем на той же системе. А во-вторых, специально поставил на тестовую машину 32-разрядную Винду -- но проблема осталась. В общем, абсолютно непонятная мистика какая-то...
Inpharhus
Извиняюсь если вдруг вопрос обсуждался, беглым поиском не нашел.
Имеется две идентичные по характеристикам машины, с одной и той же версией винды, при этом на одной EE тормозит, а на дргугой нет, в особенности долго он думает при открытии больших проектов, затык на 86%, а также заметно при проведении Back Annotation, долго думает прежде чем вывести окошко с часиками...
Диспетчер задач не выявил никаких подозрительных процессов съедающих много ресурсов.
Есть еще третий комп, на нем тоже "тормоза", хотя железо новее и мощнее.
Какие настройки могут влиять на это?

Пробовал выключать файл подкачки, разницы никакой, оно и понятно, оперативки 4 гига. Процессор Intel E8400 Core2Duo 3GHz. Винда XP 32bit, версия EE 2007.8

P.S. Вопрос снимается, выяснилось что при выдернутом проводе из сетевухи никаких проблем нет, буду пытать админа.
Женька
Цитата(Inpharhus @ Apr 5 2012, 12:46) *
Извиняюсь если вдруг вопрос обсуждался, беглым поиском не нашел.
Имеется две идентичные по характеристикам машины, с одной и той же версией винды, при этом на одной EE тормозит, а на дргугой нет, в особенности долго он думает при открытии больших проектов, затык на 86%, а также заметно при проведении Back Annotation, долго думает прежде чем вывести окошко с часиками...
Диспетчер задач не выявил никаких подозрительных процессов съедающих много ресурсов.
Есть еще третий комп, на нем тоже "тормоза", хотя железо новее и мощнее.
Какие настройки могут влиять на это?

P.S. Вопрос снимается, выяснилось что при выдернутом проводе из сетевухи никаких проблем нет, буду пытать админа.


Возможно кому-то ещё это будет полезно. Вряд ли админ ответит на вопрос. Проблема с лицензией. Мы сталкивались с такой проблемой. Она прояаляется не только при работе с EE но и с Cadence. Решилась методом подбора lic и отключением некоторых сервисов в винде.
SII
Решилась моя проблема с прикручиванием БД к ЦБ. Как оказалось, дело было в версии Expedition. У меня стояла 7.9 Update 4 -- и не работало. Сейчас поставил 7.9.3 -- и процесс пошёл.
Inpharhus
Цитата(Женька @ Apr 10 2012, 00:06) *
Возможно кому-то ещё это будет полезно. Вряд ли админ ответит на вопрос. Проблема с лицензией. Мы сталкивались с такой проблемой. Она прояаляется не только при работе с EE но и с Cadence. Решилась методом подбора lic и отключением некоторых сервисов в винде.

Действительно, решилась проблема без вмешательства админа. Пришлось привязку лицензии сделать не к сетевухе, а к винчестеру.

Другой вопрос. Возможно ли как-то назначить горячую клавишу на команду из контектного меню? Из основного меню никаких проблем, но там этой команды нет, или я не нашел.
Конкретно хочу забиндить на букву "e" утолщение трассы, при ручной трассировке, контекстное меню ПКМ и команда Change Width - Expansion.
fill
Цитата(Inpharhus @ Apr 19 2012, 14:39) *
Действительно, решилась проблема без вмешательства админа. Пришлось привязку лицензии сделать не к сетевухе, а к винчестеру.

Другой вопрос. Возможно ли как-то назначить горячую клавишу на команду из контектного меню? Из основного меню никаких проблем, но там этой команды нет, или я не нашел.
Конкретно хочу забиндить на букву "e" утолщение трассы, при ручной трассировке, контекстное меню ПКМ и команда Change Width - Expansion.


тыц
Inpharhus
Цитата(fill @ Apr 19 2012, 14:59) *

Спасибо. Не совсем то что хотелось, но подтолкнуло мысль в правильном направлении, чтобы проделать именно то что я хочу надо забиндить на комбинацию команду "cw e", оказывается можно и так, а не только явно заданную толщину линии типа "cw 1".
vitan
Можно ли в DxD 7.9.3 как-то изменить шрифт и расположение названий зон относительно заданного смещения (offset)? Т.е. подвигать буквы и цифры названий зон и поменять шрифт, не меняя настройки зон?
Можно ли как-то сделать так, чтобы сетка для зон (zoning grid) отображалась только за пределами основного поля схемы, но в пределах sheet border-а?
f0GgY
вопрос по Report writer
стоит задача создать репорт со такими данными.
по столбцам
RefDes - PartNumber - PartName - PartLabel - TopCell / BottomCell

При создании интерактивного запроса в таблице TPartNumber есть TPartNumber.PartNumber, TPartNumber.PartName, TPartNumber.Partlabel, TPartNumber.TopCell но нет рефдеса. Есть RefDesPre (что не подходит).
В таблице TComp есть недостающий для моего запроса TComp.RefDesignator. Да TComp.Side лучше брать из TComp

Подозреваю что эти две таблицы можно свести в CrossTab Query, но только не могу сообразить как.
Подскажите пожалуйста, в нужном напралении копаю?

В CTQ упираюсь в выскакивающую ошибку Number 3075 (Либо запрос неправильно формирую).
пишет что нельзя использовать count. Выбираешь любое (max, min, avg, sum) тож самое.

не совсем понятно как исползовать/формировать Table Join и к ней AddRow, Add Column.

upd
кажись что то получилось в интерактивном.
Сделал Join TComp.CompID=TpartNumber.PartNumberID
Затем в таблицах выделил две таблицы, в полях нужные поля. И вроде получился нужный мне репорт sm.gif. Буду проверять.

на принт только выводится почему то две колонки из TComp... через текстовый файл в эксель всё ок.

не получается ерунда. Через GeneralInterface в самом ЕЕ элементов по текстовому файлу значительно больше. Т.е. репорт из RW генериться некорректно. Предполагаю что Join некорректный.

Join TComp..PartNumberID=TpartNumber.PartNumberID вроде всё ок.

з.ы. воспрос закрыт)) приятно побеседовать с умным человеком.
всем спасибо.
з.ы.ы. осталось придумать как в экселе одинаковые partnumber объединить, а рефдесы группой.
SQL запрос (может кому надо будет)
Код
Select TComp.RefDesignator,
TComp.Side,
TPartNumber.PartNumber,
TPartNumber.PartLabel From TComp,
TPartNumber Where TComp.PartNumberID=TPartNumber.PartNumberID   Order By TComp.Side DESC,
TPartNumber.PartNumber ASC,
TComp.RefDesignator ASC
f1 fan
Можно ли задать массу элементов в central library и чтобы expedition считал массу всех элементов на плате?
cioma
Телепатов тут нет, опишите по-подробнее sm.gif
SII
Подозреваю, что надо в библиотеке определить пользовательское свойство -- вес компонента (Weight, например; естественно, для каждого компонента нужно присвоить ему значение). Ну а затем в Expedition использовать генератор BOMов, который настроить подобно тому, как делается для подсчёта стоимости комплектации, только вместо свойства Cost использовать свойство Weight.
f1 fan
Цитата(cioma @ May 9 2012, 19:16) *
Телепатов тут нет, опишите по-подробнее sm.gif

Чтобы массу элементов на плате не считать вручную, хотелось бы чтобы это делалось автоматически.
Цитата(SII @ May 9 2012, 19:27) *
Подозреваю, что надо в библиотеке определить пользовательское свойство -- вес компонента (Weight, например; естественно, для каждого компонента нужно присвоить ему значение). Ну а затем в Expedition использовать генератор BOMов, который настроить подобно тому, как делается для подсчёта стоимости комплектации, только вместо свойства Cost использовать свойство Weight.

Вот я тоже примерно к этому склоняюсь. Видел что в библиотеке можно задавать пользовательские свойства. А что за генератор такой? Можно поподробнее? Он входит в состав expedition?
SII
Меню Output -> Bill of Materials, если склероз не замучил. Аналогичный есть и в DxDesigner, так что создавать можно и там, и там (просто в Expeditionовском списке могут быть дополнительные компоненты, не имеющие электрического значения, а значит, отсутствующие на принципиальной схеме -- радиаторы там всякие и т.п.)
cioma
О! Пора мне отдыхать: слово "масса" по умолчанию воспринял как "множество", а не как "вес" sm.gif

Тогда дествительно только через свойство компонента. Если используете DxDatabook, то это делается быстро, если используете только PDB, то либо добавлять вручную, либо писать скрипт.
vitan
Как в DxD задать автоматическое открытие последнего проекта при запуске? Нигде не нашел ничего похожего. crying.gif
cioma
Вроде такого нету. Я на текущие рабочие проекты делаю shortcut с соответствующим параметром командной строки, чтобы открылся нужный проект.
OMY
Можно же через "Dashboard", очередность по умолчанию в файле "dash_projects.lst", папка WDIR.
f1 fan
Спасибо, разобрался. Единственное что не нашел, чтобы он суммировал сам все элементы.Поэтому вывел массу через BOM в лог файл и затем вставил в excel и там посчитал.
dmitry-tomsk
Подскажите, пожалуйста!
Во удалил неподключенные контактные площадки со внутренних слоев при помощи padstack processor. Размер дырки в плоскостях получился маленький слишком, 0.1 мм поясок, а надо 0.2 мм. Где он задаётся в ces?
fill
Цитата(dmitry-tomsk @ May 21 2012, 00:17) *
Подскажите, пожалуйста!
Во удалил неподключенные контактные площадки со внутренних слоев при помощи padstack processor. Размер дырки в плоскостях получился маленький слишком, 0.1 мм поясок, а надо 0.2 мм. Где он задаётся в ces?


Если это Via, то зазор Via-to-Plane на соответствующем слое.
dmitry-tomsk
Цитата(fill @ May 22 2012, 18:43) *
Если это Via, то зазор Via-to-Plane на соответствующем слое.

Спасибо, fill! Только вот нормальный антипад не получается сделать. Например, по требованием pcie pad size - 25 mil, anti-pad - 35 для переходов. Пусть 0.6 переход, 0.3 сверло, 0.9 антипад, тогда 0.3 зазор, на неудаляемых переходах все перемычки съедает такой зазор. Есть же в padstack plane clearance, никак нельзя его использовать для позитивных полигонов?
fill
Цитата(dmitry-tomsk @ May 23 2012, 01:27) *
Спасибо, fill! Только вот нормальный антипад не получается сделать. Например, по требованием pcie pad size - 25 mil, anti-pad - 35 для переходов. Пусть 0.6 переход, 0.3 сверло, 0.9 антипад, тогда 0.3 зазор, на неудаляемых переходах все перемычки съедает такой зазор. Есть же в padstack plane clearance, никак нельзя его использовать для позитивных полигонов?


Ну так используйте негатив, для которого используется plane_clearance из падстека.

Кроме того, есть же Rule_Area (области правил), там где нужно\не нужно удалять, создаем соотвествующие правила регулирующие нужные зазоры.
dmitry-tomsk
Цитата(fill @ May 23 2012, 12:44) *
Ну так используйте негатив, для которого используется plane_clearance из падстека.

Кроме того, есть же Rule_Area (области правил), там где нужно\не нужно удалять, создаем соотвествующие правила регулирующие нужные зазоры.

А можно вместо этого геммороя просто поставить галку Only process pads that are connected by a trace or plane при генерации gerber? Правда гиперлинукс результаты не верны будут, да хоть так, раз разработчики сапра мудрят где не надо.
fill
Цитата(dmitry-tomsk @ May 28 2012, 02:32) *
А можно вместо этого геммороя просто поставить галку Only process pads that are connected by a trace or plane при генерации gerber? Правда гиперлинукс результаты не верны будут, да хоть так, раз разработчики сапра мудрят где не надо.


Размер "дырки" в плейне получится =площадка+зазор_PadtoPlane.
dmitry-tomsk
Цитата(fill @ May 28 2012, 12:28) *
Размер "дырки" в плейне получится =площадка+зазор_PadtoPlane.

Ну это и правильно, вот только почему-то не все площадки убирает, хоть они и не подключены. Глючит?
fill
Цитата(dmitry-tomsk @ May 28 2012, 13:36) *
Ну это и правильно, вот только почему-то не все площадки убирает, хоть они и не подключены. Глючит?


Ну если такой размер удовлетворяет, тогда что мешает перевести плейн в состояние Static и удалить площадки через Padstack_Processor?
dmitry-tomsk
Цитата(fill @ May 28 2012, 12:46) *
Ну если такой размер удовлетворяет, тогда что мешает перевести плейн в состояние Static и удалить площадки через Padstack_Processor?

Пробовал так. Результат ещё хуже. Например, 4 перехода одниковых рядом (просто передача питания с нижнего плэйна на верхний), он два удалил - два нет. А для площадок с термальным рельефом - рельеф не удаляет, а площадку - да, получается крестик вместо дырки.
fill
Цитата(dmitry-tomsk @ May 28 2012, 14:13) *
Пробовал так. Результат ещё хуже. Например, 4 перехода одниковых рядом (просто передача питания с нижнего плэйна на верхний), он два удалил - два нет. А для площадок с термальным рельефом - рельеф не удаляет, а площадку - да, получается крестик вместо дырки.


Судя по тому что написано, явно что-то делается не так. У тепловых площадок вообще ничего удалятся не должно - они же подключенные.
dmitry-tomsk
Да вот ещё, не знаете почему у меня гостовский шрифт на плате двойной линией в герберах получается?
fill
Цитата(dmitry-tomsk @ May 28 2012, 14:19) *
Да вот ещё, не знаете почему у меня гостовский шрифт на плате двойной линией в герберах получается?


Потому что фонт true type - рисуется контуром. Если толщина_линии_контура=расстоянию_между_линиями получается сплошное отображение.
dmitry-tomsk
Цитата(fill @ May 28 2012, 15:21) *
Потому что фонт true type - рисуется контуром. Если толщина_линии_контура=расстоянию_между_линиями получается сплошное отображение.

Ну уж очень толстый шрифт получился, кром того толщина линии должна быть 0, тогда он автоматом всё утолщает. Вот попробовал так:
1) задал толщину линии 0.001
2) сгенерил шелкографию
3) выбрал сгенерённую надпись и изменил слой на пользователя
4) поставил галку fill в полигонах букв
5) добавил перемычки в буквы В и Р, чтобы не заливал внутри
6) слой пользователя добавил в шелкографию.
Надпись как надо, вот только прокатит ли на заводе?
AlexN
Цитата(dmitry-tomsk @ May 29 2012, 02:51) *
Ну уж очень толстый шрифт получился, кром того толщина линии должна быть 0, тогда он автоматом всё утолщает. Вот попробовал так:
1) задал толщину линии 0.001
2) сгенерил шелкографию
3) выбрал сгенерённую надпись и изменил слой на пользователя
4) поставил галку fill в полигонах букв
5) добавил перемычки в буквы В и Р, чтобы не заливал внутри
6) слой пользователя добавил в шелкографию.
Надпись как надо, вот только прокатит ли на заводе?


мучаете себя, как при царском режиме (Шариков, Собачье сердце)biggrin.gif
SII
Возникла проблема с обратной аннотацией. Переставлял в своём проекте ноги у разъёмов, и всё вроде бы было нормально. Теперь закончил разводку и стал писать в табличку для дальнейшего использования соответствие между номерами ног и сигналами, и вот тут-то обнаружил, что разводка не соответствует принципиальной схеме. Обратную аннотацию делал неоднократно, и по крайней мере в части случаев она отработала нормально. Однако пару раз при этом что-то падало, что приводило к смерти открытого DxDesigner, хотя Expedition не ругался и после повторного запуска DxDesigner продолжал работать нормально, показывая, что аннотация выполнена.

В общем, как мне можно восстановить соответствие разводки и принципиальной схемы, не переделывая всё ручками?

Архив с проектом здесь.
fill
Цитата(SII @ May 30 2012, 14:14) *
Возникла проблема с обратной аннотацией. Переставлял в своём проекте ноги у разъёмов, и всё вроде бы было нормально. Теперь закончил разводку и стал писать в табличку для дальнейшего использования соответствие между номерами ног и сигналами, и вот тут-то обнаружил, что разводка не соответствует принципиальной схеме. Обратную аннотацию делал неоднократно, и по крайней мере в части случаев она отработала нормально. Однако пару раз при этом что-то падало, что приводило к смерти открытого DxDesigner, хотя Expedition не ругался и после повторного запуска DxDesigner продолжал работать нормально, показывая, что аннотация выполнена.

В общем, как мне можно восстановить соответствие разводки и принципиальной схемы, не переделывая всё ручками?

Архив с проектом здесь.



Насколько я вижу номера пинов на X11 соответствуют текущему назначению в плате.
На схеме они погашены - если включить, то видно (левая колонка). Нажмите для просмотра прикрепленного файла
SII
А, вот оно как сделано... До меня не дошло, что может быть такое: я-то исходил из номеров, что справа от собственно разъёма; предполагалось, что именно они будут номерами контактов. Будем исправлять, спасибо sm.gif
fill
Цитата(SII @ May 30 2012, 14:57) *
А, вот оно как сделано... До меня не дошло, что может быть такое: я-то исходил из номеров, что справа от собственно разъёма; предполагалось, что именно они будут номерами контактов. Будем исправлять, спасибо sm.gif


Справа в данном случае расположены ИМЕНА_ПИНОВ.
SII
Да, я понял, когда залез в символьное обозначение. Давно их делал, вот и забыл, что у меня там имена, а не номера. Теперь сижу, исправляю библиотеку sm.gif
f1 fan
Вопрос по трассировке. Когда я хочу чтобы проводники пересекались Т-образно, программа автоматически делает их Y-образными. Такие места приходится разводить либо в режиме gloss off, либо фиксить проводники. Можно это где-нибудь настроить?
И еще вопрос. Программа не делает короткие проводники под 45 градусов непосредственно от площадок (красный проводник на рисунке), а делает сначала прямой участок и только потом уже под углом. С длинными проводниками такой проблемы нет.
Нажмите для просмотра прикрепленного файла
Frederic
Цитата(f1 fan @ Jun 2 2012, 11:16) *
Вопрос по трассировке. Когда я хочу чтобы проводники пересекались Т-образно, программа автоматически делает их Y-образными.

убрать разводку под 45

Цитата
Программа не делает короткие проводники под 45 градусов непосредственно от площадок (красный проводник на рисунке), а делает сначала прямой участок и только потом уже под углом. С длинными проводниками такой проблемы нет.

как то над этом не задумавался sm.gif
Volosatyi
Можно ли в Expedition PCB настроить CES или DRC так, чтобы было позволено накладывать контактные площадки друг на друга?
Frederic
Цитата(Volosatyi @ Jun 4 2012, 15:43) *
Можно ли в Expedition PCB настроить CES или DRC так, чтобы было позволено накладывать контактные площадки друг на друга?

в EditorControl_CommonSettings убрать галку на DRC
и желательно убирать галку когда накладываешь КП, т.к DRC в это время отключено
f0GgY
по каким то причинам в DC 7.9.2 слетает(слетела) схема. Схема не пакуется по причине одинаковых рефдесов.

РефДес компонентов заменяется на какую то чепуху. см. картинку.
Схема, библиотека всё апргейдилось с 2005.1.

Интересует что за тип данных 628, и почему у некоторых (не у всех) элементов значение 628 и RefDesignator совпадают.
Как это исправить?

Для просмотра полной версии этой страницы, пожалуйста, пройдите по ссылке.
Invision Power Board © 2001-2024 Invision Power Services, Inc.